山东大学信息学院高频第一次实验电路图

合集下载

高频电子线路实验指导书(山建)

高频电子线路实验指导书(山建)
高频电子线路
实验指导书
山东建筑大学信息与电气工程学院
前言
一、实验目的
《高频电子线路实验》是电子信息及通讯专业学生的一门重要专业基础实验课,它涉及到了许多专业理论知识和实践知识。在实验过程中,学生可以更直观深刻地理解课程的内容及相关专业知识,通过综合实验来提高学生设计问题、分析问题及解决问题的能力。
通过实验,使学生更直观深刻地理解课程的内容,学会使用高频电子线路实验箱及相关仪器设备,掌握高频电子线路的常用基本知识,了解和体会高频电子线路的设计思路,为今后工作、接受新挑战打下良好基础。实验完成后,必须对实验结果进行分析、总结,写出实验报告。能根据要求,进行简单电路的设计,并正确选择合适的电路元件及适用的仪器设备。
MC1496的内部原理图和管脚功能如图5-1所示:
图5-1、集成电路MC1496电路原理理图
MC1496各引脚功能如下:
1)、SIG+信号输入正端2)、GADJ增益调节端
3)、GADJ增益调节端4)、SIG-信号输入负端
5)、BIAS偏置端6)、R+载波信号输入正端
3、测量振荡器的反馈系数、波段复盖系数、频率稳定度等参数。
4、比较LC与晶体振荡器的频率稳定度。
二、实验预习要求
实验前,预习教材: “高频电子线路”第七章:正弦波振荡器的有关章节。
三、实验原理说明
三点式振荡器包括电感三点式振荡器(哈脱莱振荡器)和电容三点式振荡器(考毕兹振荡器),其交流等效电路如图1-1。
量发射极电压和电流:短接K1041-2,使西勒振荡器恢复工作,测量BG102的发射极电压Ue和Ie。
调整振荡器的输出:改变电容C110和电阻R110值,使LC振荡器的输出频率f0为1.5MHz,输出幅度VLo为1.5VP-P。

山东大学 高频电子线路[第六章正弦波振荡器]山东大学期末考试知识点复习

山东大学 高频电子线路[第六章正弦波振荡器]山东大学期末考试知识点复习

第六章正弦波振荡器6.2.1 LC振荡器的基本工作原理构成振荡器的三个条件是:1)包含两个(或两个以上)储能元件的振荡回路。

2)能量来源。

3)能使电源功率在正确时刻补充电路的能量损失,以维持等幅振荡的控制设备。

图6.2.1(a)所示的调集型振荡电路,就是满足上述三条件的一种振荡器。

图中的LC回路既是振荡回路,又与L1、M组成正反馈电路,完成控制作用。

图6.2.1(b)是它的等效电路。

由这个等效电路求出振荡条件为用正反馈观点可以获得同样的结果。

6.2.2振荡器的平衡与稳定条件1)振荡器的平衡条件为分析式(6.2.3)可以得出:振幅平衡条件为2)振荡器平衡状态的稳定条件振幅在平衡状态时的稳定条件是相位在平衡状态的稳定条件是由于振荡器工作点的不同,振荡器有“软自激”与“硬自激”两种状态。

一般应取软自激状态,避免硬自激状态。

6.2.3 反馈型LC振荡器线路1)互感耦合振荡器除了图6.2.1所示的调集型互感耦合振荡器外,还有调基电路与调发电路两种互感耦合振荡器,如图6.2.2(a)与(b)所示。

互感耦合振荡器在调整反馈(改变M)时,基本上不影响振荡频率。

但由于分布电容的存在,在频率较高时,难以做出稳定性高的变压器。

因此它们的工作频率不宜过高,一般应用于中、短波波段。

2)电感反馈式三端振荡器(哈特莱振荡器)图6.2.3(a)是它的基本电路,图6.2.3(b)是它的等效电路。

可以证明,这种电路的起振条件为由于(hfe /hiehoe)>>(1/hfe),因此式(6.2.8)表明,这种电路的反馈系数[(L2+M)/(L1+M)]可供选取的范围很宽。

3)电容反馈式三端振荡器(考毕兹振荡器)图6.2.4(a)是它的基本电路,图6.2.4(b)是它的等效电路。

这种振荡电路的优点是:输出波形较好;分布电容等不稳定因素影响较小;适用于较高的工作频率。

这种电路的缺点是:调C1或C2来改变振荡频率时,反馈系数也将改变。

但只要在L两端并上一个可变电容器,并令C1与C2为固定电容,则在调整频率时,基本上不会影响反馈系数。

山东大学 高频电子线路[第二章选频网络]山东大学期末考试知识点复习

山东大学 高频电子线路[第二章选频网络]山东大学期末考试知识点复习

第二章选频网络2.2.1 串联谐振回路由R、L、C组成的串联回路,谐振条件为因而串联谐振频率为此时,回路阻抗等于R,达到最小,回路电流则达到最大。

L与C两端的电压降的Q倍,因而称为电压谐振。

将等于信号源电压Vs品质因数回路通频带串联谐振回路的谐振曲线与通频带示意图见图2.2.1。

串联谐振回路适用于信号源内阻低的情况。

信号源内阻越大,回路品质因数Q越低,谐振曲线越钝,选择性也就越差。

2.2.2并联谐振回路图2.2.2所示的并联回路阻抗为(在ωL>>R的实际条件下)变为导纳表示式并联谐振条件为谐振角频率为谐振阻抗为品质因数为纯电阻,且等于电感支路(或电容支路) 电由式(2.2.7)已知,在谐振时,Zp倍,因而此时并联谐振回路阻抗为最大值。

而在偏离谐振点时,回路等效抗的Qp阻抗为感性(低于谐振频率时)或为容性(高于谐振频率时),如图2.2.3所示。

在谐振时,电感支路(或电容支路)的电流为电源电流Is 的Qp倍,即因而并联谐振又称为电流谐振。

并联谐振回路的通频带与串联谐振回路通频带表示式相似,即并联谐振回路适用于高内阻的信号源。

从图2.2.4所示的信号源内阻对并联谐振曲线的影响即可看出。

必须注意,以上提到在谐振时,并联回路阻抗为最大值且为纯阻这一结论是在R<<ωL的情况下获得的。

如果R不能忽略,亦即回路Q值低,则并联谐振回路阻抗为最大和为纯阻这两点就不一定能够重合,视下列情况而定:1)如果电阻集中在电感支路,则调整电容使电路达到谐振,从而回路阻抗为纯阻和达到最大值这两点是重合的。

若是通过改变电感来获得谐振,则这两点不能重合。

2)如果电阻集中在电容支路,则改变电感来获得谐振,从而回路阻抗达到最大值且为纯阻这两点是重合的。

若改变电容来获得谐振,则以上两点不能重合。

2.2.3 串、并联电路的阻抗互换与抽头电路的阻抗互换图2.2.5所示的阻抗互换是指A、B两点的阻抗相等。

这是经常应用的关系式:式(2.2.12)与(2.2.13)是并联阻抗变换为串联阻抗的公式。

山东大学信息学院EDA技术及应用报告

山东大学信息学院EDA技术及应用报告
cin :in std_logic; sum :out std_logic; cout:out std_logic); end component; signal carry:std_logic_vector (0 to 4); begin carry(0)<=cin; cout<=carry(4); gen:for i in 1 to 4 generate add:add1 port map(a=>a(i),b=>b(i),cin=>carry(i-1), sum=>sum(i),cout=>carry(i)); end generate; end structural; ----一位加法器 library ieee; use ieee.std_logic_1164.all;
仿真波形
引脚分配
程序二
library ieee;
end if; end if; end process; with cnt select Barke <='1'when 0, '1'when 1, '1'when 2, '0'when 3, '0'when 4, '1'when 5, '0'when 6, 'Z'when others; jiepai<=clk; end behave;
程序
library ieee; use ieee.std_logic_1164.all; entity comp4 is port ( A: in std_logic_vector(3 downto 0); B: in std_logic_vector (3 downto 0); M,G,L:out std_logic); end comp4; architecture behave of comp4 is begin p1:process(A,B) begin if (A=B)then M<='1';G<='0';L<='0'; elsif (A>B)then M<='0';G<='1';L<='0'; elsif (A<B)then M<='0';G<='0';L<='1'; end if; end process p1; end behave;

(完整word版)山东大学-高频电子线路[第七章振幅调制与解调]山东大学期末考试知识点复习(良心出品

(完整word版)山东大学-高频电子线路[第七章振幅调制与解调]山东大学期末考试知识点复习(良心出品

第七章振幅调制与解调7.2.1 调幅波的基本性质与功率关系调幅就是使载波电压(或电流)的振幅随调制信号的变化规律而变化。

图7.2.1就是当调制信号为正弦波形时,调幅波的形成过程。

调制信号 vΩ=VΩcosΩt未调制时的载波为 v=V0cosω0t已调波的振幅 V(t)=V0+k a VΩcosΩt已调波表示式为 v(t)=V(t)cosω0t=(V0+k a VΩcosΩt)cosω0t=V0(1+m a cosΩt)cosω0t (7.2.1)由式(7.2.2)可见,由正弦波调制的调幅波包含三个频率:载波ω0;上边频(ω0+Ω)和下边频(ω0-Ω),其频谱如图7.2.2所示。

若调制信号为非正弦波,包含许多频率,则调幅波将包含上边带与下边带。

对于式(7.2.2),将它加到负载电阻R上,则载波与两个边频的功率为:7.2.2平方律调幅设图7.2.3的非线性器件特性为v0=a0+a1v i+a2v i2 (7.2.6)式中,输入电压为v i=v(载波)+vΩ(调制信号)=V0cosω0t+VΩcosΩt (7.2.7)其中产生调幅作用的是a2v i2项,故称为平方律调幅。

滤波后,输出电压为由式(7.2.9)可以得出如下结论:1)调幅度m a的大小由调制信号电压振幅VΩ及调制器的特性曲线所决定,亦即由a1、a2所决定。

2)通常a2<<a1,因此用这种方法所得到的调幅度是不大的。

为了使电子器件工作于平方律部分,电子管或晶体管应工作于甲类非线性状态,因此效率不高。

所以,这种调幅方法主要用于低电平调制。

此外,它还可以组成平衡调幅器(balanced modulator),以抑除载波。

在图7.2.4所示的平衡调幅器,它的输出电压为由式(7.2.10)可见,输出中没有载波,只有上下边带(ω±Ω)与调制频率Ω(可用滤波器滤除)。

载波在输出中被抑止,这是平衡调幅器的主要特点。

7.2.3 斩波调幅所谓斩波调幅就是将所要传送的信号vΩ(t)通过一个受载波频率ω控制的开关电路(斩波电路),以使它的输出波形被“斩”成周期为2π/ω的脉冲,因而包含ω±Ω及各种谐波分量等。

山东大学 高频电子线路[第五章高频功率放大器]山东大学期末考试知识点复习

山东大学 高频电子线路[第五章高频功率放大器]山东大学期末考试知识点复习

第五章高频功率放大器5.2.1 高频功率放大器与低频功率放大器的异同点1)相同点:要求输出功率大,效率高。

2)不同点:低频功率放大器相对频带宽;高频功率放大器相对频带很窄,可以用调谐回路作负载,能工作于丙类。

5.2.2谐振功率放大器的工作原理图5.2.1是高频谐振功率放大器的基本电路。

图5.2.2(a)是放大器工作于丙类时,所得到的集电极电流脉冲波形。

当回路谐振时,各极电压与电流的波形如图5.2.2(b)所示。

注意,此时集电极电流脉冲是在集电极瞬时电压最低时通过,因而电流脉冲幅度小,平均集电极电流最低,集电极耗散功率最小,因而集电极效率最高。

各极电压的关系式为图5.2.2(b)对于理解谐振放大器的工作原理非常重要,应充分理解并牢记。

α0、α1等值可由原书图5.3.4或附录5.1查得。

5.2.3动态特性与负载特性动态特性曲线(负载线)是指vB 、vC同时变化时,表示ic-vc关系的曲线。

当晶体管的静态特性曲线理想化为折线,放大器工作于负载回路谐振状态时,动态特性曲线是一条直线。

由式(5.2.1)与式(5.2.2),消去cosωt,得另一方面,晶体管的折线化方程为ic =gc(vB-VBZ) (5.2.10)动态特性应同时满足外部电路关系式(5.2.9)与内部关系式(5.2.10)。

将式(5.2.9)代入式(5.2.10),即可得出在ic-vc坐标平面上的动态特性曲线(负载线或工作路)方程:的直线,如图5.2.3中AB线所示。

图中示出动态特性曲线的斜率为负值,它的物理意义是:从负载方面看来,放大器相当于一个负电阻,亦即它相当于交流电能发生器,可以输出电能至负载。

作出动态线后,由它和静态特性曲线的相应交点,即可求出对应各种不同ωt值的ic 值,绘出相应的ic脉冲波形,如图5.2.3所示。

对应不同的负载阻抗Rp的值,可以得出对应各种不同Rp值的动态特性直线与相应的集电极电流脉冲波形,如图5.2.4所示。

山东大学信息学院高频电子线路实验要求

山东大学信息学院高频电子线路实验要求

1.1 电子线路实验概述众所周知,科学和技术的发展离不开实验,实验是促进科技发展的重要手段。

我国著名科学家张文裕在为《著名物理学实验及其在物理学发展中的作用》一书的序言中,精辟论述了科学实验的重要地位。

他说:“科学实验是科学理论的源泉,是自然科学的根本,也是工程技术的基础。

”又说:“基础研究、应用研究、开发研究和生产四个方面如果结合得好,经济建设和国防建设势必会兴旺发达。

要把上述四个方面结合在一起,必然有一条红线,这条红线就是科学实验。

”1.1.1 电子线路实验简介一、实验目的电子技术是自然科学理论与生产实践经验相结合的产物。

人们在实际工作中,依据理论知识和实践经验,分析和设计电子电路的性能指标,测试和制作电子系统的整机装置,均离不开实验室。

从一只小小的电子管到神舟六号载人飞船,实验室是科学技术发展的孵化器。

作为学习、研究电子线路不可缺少的教学环节,电子线路实验是一门渗透工程特点的实践课程。

通过电子线路实验,可以置身实验室,直接使用电子元器件、连接电子电路、操作电子测试仪器,理解和巩固理论知识,学习实验知识,积累实验经验,增长实验技能,为进一步学习、应用、研发电子应用技术打下较厚实的基础。

二、电子线路实验分类电子线路实验,按性质可分为验证性实验、训练性实验、综合性实验和设计性、研究性实验五大类。

验证性(也称为基础型)实验和训练性实验主要是针对电子线路本门学科范围由理论论证和实际技能的培养奠定基础,这类实验就是学习实验方法,掌握实验知识,摸索实验技巧。

它除了巩固加深某些重要的基础理论外,主要在于帮助学生认识现象,掌握基本实验知识、基本实验方法和基本实验技能。

通过这类实验达到的目的是:通过连接线路实现电路预定的应用功能,依据实验结果,证明理论知识的正确性及其适用的条件,从而加深对理论知识的理解。

要通过实际操作,锻炼动手能力,包括仪器使用、故障排除、数据整理、结论总结等各方面的实验技术能力。

综合性实验泛指应用型实验、实验内容侧重于某些理论知识的综合应用,其目的是培养学生综合运用所学理论的能力和解决较复杂的实际问题的能力。

山东大学计算机组成原理课程设计实验报告

山东大学计算机组成原理课程设计实验报告
运算器结构
运算器结构如下图所示。R0、R1、R2 均为 D 触发器组成的八位寄存器,在打入 脉冲 CPRi 的作用下,接收数据输入端提供的信息送入 Ri 中。
μIR23-16 为微指令寄存器的高八位,可定义为操作数。进位信号 C0、打入脉冲 CPR0、CPR1、CPR2、M、S0、S1、S2、S3 均由微指令寄存器的 μIR8 和 μIR7--μIR0 产生。
算术逻辑运算单元 ALU 的设计
该部分中算术逻辑运算单元用两片 74LS181 芯片按如下图所示结构实现八位 组间串行进位运算器。
74LS181 功能表如下图所示。
5
计算机一班 鸿武 QQ:2420430689(2 号)
ALU 的实现电路图如下。
实验调试
将设计完成的电路图下载到 FPGA 中。按照前面所给的 74LS181 功能表编写 微指令,并写入到 ROM 中,微指令从 0 地址单元开始存放。
微程序控制的存储器读写系统设计............................................... 7 设计目的................................................................. 7 设计要求................................................................. 7 结构与信号索引........................................................... 8 微指令格式及微指令编制................................................... 8
微程序控制的运算器设计详细电路图 ........................................ 22 微程序控制的存储器读写系统设计详细电路图 ................................ 24 微程序设计模型机详细电路图.............................................. 25 硬布线控制的模型机详细电路图............................................ 34

山东大学通讯原理第一次实验报告

山东大学通讯原理第一次实验报告

通讯原理第一次实验报告1 DDS信号源使用实验人员:同组人员:一、实验目的1.了解DDS信号源的工作原理;2.掌握RZ9681实验平台DDS信号源使用方法;3.理解DDS信号源各种输出信号的特性;4.配合示波器完成系统测试。

二、实验仪器1.RZ9681实验平台2.实验模块:主控模块3.100M双通道示波器三、实验原理1.DDS信号产生原理直接数字频率合成(DDS—Digital Direct Frequency Synthesis),是一种全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。

时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM 的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。

图2.1.1.1 DDS信号源产生原理信号源由主控模块上的M4芯片内置的DA产生,M4产生的函数信号经直流交流电路转换和驱动电路驱动从DDS1锚孔输出。

幅度调节旋钮控制输出信号幅度,频率调节旋钮控制输出信号频率,旋钮左右旋转时产生脉冲信号,M4检测到后调整DA的速率(改变信号频率)和数字电位器阻值(改变输出幅度);信号种类:正弦、方波、三角、半波、全波、复合信号等;频率:0-200KHZ,幅度:10V(峰峰值)。

抽样脉冲采用M4的PWM功能实现,脉冲的频率和占空比可调(鼠标),脉冲频率:0-99KHZ,占空比12.5%-87.5%;经直流交流转换电路从P01输出。

2.DDS信号操作设置主控模块可以提供两路DDS信号源,分别是低频信号源DDS1和高频信号源DDS2,其中DDS2信号源除了作为调幅和调频的载波输出外,其他功能暂时保留。

实验中主要用到的是DDS1信号源,DDS1信号源可以生成各种类型的信号,提供可调的频率、幅度。

四、实验内容及步骤1.加电打开系统电源开关,底板的电源指示灯正常显示。

若电源指示灯显示不正常,请立即关闭电源,查找异常原因。

高频电路实验指导书图模板

高频电路实验指导书图模板

实验一高频小信号调谐放大器实验目的小信号调谐放大器是高频电子线路中的基本单元电路,主要用于高频小信号或微弱信号的线性放大。

在本实验中,经过对谐振回路的调试,对放大器处于谐振时各项技术指标的测试(电压放大倍数,通频带,矩形系数),进一步掌握高频小信号调谐放大器的工作原理。

学会小信号调谐放大器的设计方法。

二、实验内容1、调节谐振回路使谐振放大器谐振在10.7MHz。

2、测量谐振放大器的电压增益。

三、实验仪器1、20MHz模拟示波器一台2、数字万用表一块2、实验参考电路图1-4单级调谐放大器五、实验步骤参考所附电路原理图G2。

先调静态工作点,然后再调谐振回路。

1、在主箱上正确插好接收模块, 按照所附电路原理图G2, 对照接收模块中的高频小信号调谐放大器部分, 连接好跳线JA1, 正确连接电路电源线,+ 12V孔接+12V, + 5V孔接+ 5V, GND接GND(从电源部分+12V 和+ 5V插孔用连接线接入),接上电源通电(若正确连接了,扩展板上的电源指示灯将会亮)。

2、K1 向右拨;3、调整晶体管的静态工作点:在不加输入信号(即u i=0) , 将测试点INA1 接地, 用万用表直流电压档(20V档)测量三极管QA1射极的电压(即测R4靠近QA1端的电压),调整可调电阻WA1,使U EQ=2.25V(即使l E=1.5mA),根据电路计算此时的U CEQU BQ, U , U EQ及I EQ 值。

4、调谐放大器的谐振回路使它谐振在10.7MHz方法是用BT-3 频率特性测试仪的扫频电压输出端和检波探头, 分别接电路的信号输入端INA1 及测试端TTA2, 经过调节y 轴, 放大器的”增益” 旋钮和”输出衰减”旋钮于合适位置, 调节中心频率刻度盘, 使荧光屏上显示出放大器的”幅频谐振特性曲线” , 根据频标指示用绝缘起子慢慢旋动变压器的磁芯, 使中心频率f o = 1 0 .7MHz 所对应的幅值最大。

山东大学信息学院高频电子线路实验

山东大学信息学院高频电子线路实验

第四章高频电子线路实验4.1 常用高频电子实验仪器的使用一、实验目的常用的高频电子线路实验仪器主要有示波器、高频信号发生器、扫频仪等。

正确使用这些仪器是做好高频实验和综合设计以及课程设计的基本要求。

所以该实验的目的是:1、了解常用示波器(双踪示波器、数字示波器)、EE164系列函数信号发生器/计数器、频率计、高频毫伏表、BT—3频率特性测试仪、直流稳定电源等仪器的工作原理、主要技术性能以及面板上各旋钮的功能。

2、学会上述仪器的正确使用方法,特别是用示波器、频率特性测试仪观察和测量电子线路的性能参数及其幅频特性。

3、掌握仿真软件 Multisim8的使用方法。

二、实验仪器及设备1、示波器2、高频信号发生器3、频率特性测试仪4、高频毫伏表5、频率计三、常用高频测量仪器的原理及使用常用高频电子线路实验仪器参见第二章的有关内容。

四、Multisim8的使用熟悉Multisim8的使用方法。

五、实验内容1、示波器的使用2、高频信号发生器的使用3、频率特性测试仪的使用4、高频毫伏表的使用5、频率计的使用6、用Multisim8仿真图4.1所示LC谐振回路的选频特性。

图4.1 LC谐振回路的选频特性分析六、预习要求认真阅读上述仪器的内容介绍,熟悉各仪器的面板旋钮的作用及其位置。

七、实验报告要求1、试画出用频率特性测试仪测试LC 谐振回路幅频特性的连线图,并画出幅频特性曲线。

2、简述用示波器测量波形周期或频率的方法。

八、思考题1、用示波器观察输出波形,测量波形周期(频率),如何提高测量精度?2、用频率特性测试仪测试有源网络的幅频特性时应注意什么?4.2 高频小信号放大器高频小信号放大器的作用是放大通信设备中的高频小信号,以便作进一步变换或处理。

所谓“小信号”,主要是强调放大器应工作在线性范围。

高频与低频小信号放大器的基本构成相同,都包括有源器件(晶体管、集成放大器等)和负载电路,但有源器件的性能及负载电路的形式有很大差异。

山东大学电气学院电路课件:第一章(2)

山东大学电气学院电路课件:第一章(2)
干电池电动势,仅取决于(糊状)化学材料,其大小决定储存的能量, 化学反应不可逆。
钮扣电池电动势V,用固体化学材料,化学反应不可逆。
干电池
钮扣电池
2. 燃料电池(化学电源)
电池电动势。以氢、氧作为燃料。约40-45%的化学能转变为 电能。实验阶段加燃料可继续工作。
氢氧燃料电池示意图
3. 太阳能电池(光能电源)
+
U
+
U
I 关联参考方向
I 非关联参考方向
以后讨论均在参考方向下进行,不考虑实际方向。
三、 电位 a
b
设c点为电位参考点,则 c= 0
a= Uac, b=Ubc, d= Udc
d
c
Uab = a- b
注:电位与参考点的选择有关,而电压与参考点无关。
小结
(1) 分析电路前必须选定电压和电流的参考方向,并据此列写 电路方程,此时电压电流以代数量的形式约束在方程中。
i
问题
复杂电路或电路中的电流随时 间变化时,电流的实际方向往 往很难事先判断
Tt 0 T/2
2、电流参考方向:任意假定的电流的方向。
i
参考方向
A
B
标定参考方向后,电流成为代数量。
电流的参考方向与实际方向的关系:
i 参考方向
i
参考方向
A
BA
实际方向
实际方向 B
i>0
i<0
电流参考方向的两种表示:
• 用箭头表示:箭头的指向为电流的参考方向。 • 用双下标表示:如 iAB , 电流的参考方向由A指向B。
PV =1×1=1W 吸收
1V
PI =2×1=2W 发出 功率平衡
_

最新山东大学模拟电路第1章复习PPT课件

最新山东大学模拟电路第1章复习PPT课件

+
-
正偏 - + 反偏
放大Vc<Vb<Ve
放大
发截射止结和集电发结射均结饱为和和反集偏电。结均为正偏。
测得VB =4.5 V 例、V2E:= 3.8 V 、VC =8 V,试
判断三极管的工作状态。
放大
二. BJT电路的分析方法(直流)
1. 模型分析法(近似估算法)
例:共射电路如图,已知三极管为硅管,β=40,试 求电路中的直流量IB、 IC 、UBE 、UCE。
饱和区
放大区——发射结正偏,
集电结反偏。 曲线基本平 行等距。此时: UBE≥0.7V , UCE>0.3V
IC=IB
i C(m A)
放大区
IB =1 00 uA IB =8 0u A IB =6 0u A IB =4 0u A
IB =2 0u A
截止区
IB= 0
u
CE
(V )
四. BJT的主要参数
iB≈0 iC≈0
直流模型 放大状态
IB b
UD
IC c
βIB
发射结导通压降UD 硅管0.7V 锗管0.3V
e
b
截止状态
c
b
饱和状态
e c
UD
UCES
e
饱和压降UCES 硅管0.3V 锗管0.1V
测量三极管三个例电1极:对地电位,试判断三极管的
工作状态。
-
+
正偏 + - 反偏
放大Vc>Vb>Ve
( V)
输出特性曲线可以分为三个区域:
饱和区——发射结正偏,集电结也正偏, iC不受iB的控制,而受uCE
的影响,该区域内iC 显著增加时, uCE增加很小。此时,UBE≥0.7V, UCE <0.3V, IC<βIB

multisim 【温度仿真】

multisim 【温度仿真】

山东大学威海分校温度测量与控制电路设计与实验报告学院:机电与信息工程学院专业:09通信一班姓名:***学号:************时间:2011/06/26一、摘要温度是一个与人们生活和生产密切相关的重要物理量。

温度的测量和控制技术应用十分广泛。

在工农业生产和科学研究中,经常需要对某一系统的温度进行测量,并能自动的控制、调节该系统的温度。

测试电路是通过电压比较放大电路来实现温度都的检测,控制电路是通过一个电压比较电路来实现对温度的控制。

温度控制电路中由两个三极管和光敏电阻组成实现了加热等简单功能。

工作原理主要是利用温度传感器把系统的温度通过A\D转换电路将电信号转换成数字信号,并通过与之连接的译码电路中显示出来。

同时电压信号通过电压比较器与输入电压比较决定输出是高电平或是低电平,进而控制下一个电路单元的工作状态。

在电路中,当电路出现故障使温度失控时,使被控系统温度达到允许最高温度Vmax,此时发光二极管通电发光照在光敏电阻上,电阻受光激发,电阻值迅速下降,分压点电位升高,电路立即产生振荡,发出声响报警。

调温控制电路中,通过电压比较器的输入输出关系,决定温度的调节。

当温度低于下限温度时,电路经过一系列变化接通加热器电源对其进行加热。

当温度升到上限温度时,加热器电源,停止加热。

二、实验设计的目的与要求利用课本知识设计实用电路是我们对课本知识掌握的良好体现。

将电子实验设计作为我们学习电子技术课程的重要组成部分,使得我们能进一步理解课程内容,更好的掌握电子系统设计和调试的方法。

而且增加了我们对集成电路应用知识,并培养了我们实际动手能力以及分析、解决问题的能力。

小学期期间老师给了我们7个题目已备选择,并针对每一个题目给出了相应的要求。

我选择的题目是温度的测量与控制,题目要求实现的任务如下:1.设计一个基本电路,学会温度测量与控制电路的原理;2.熟悉各种基本电路组成实用电路的方法;3.学习电子电路的调试方法;4.根据电桥的测量原理写出测量电桥的表达式;5.根据实验测试数据,会出只会比较器的滞回的型曲线;6.比较温度计测量温度数值与数码显示管显示结果。

山东大学电气学院电路课件第十五章

山东大学电气学院电路课件第十五章
•树是连接全部结点所需最少支路的集合。
•树支:组成树的支路
•连支:属于G而不属于T的支路
PPT文档演模板
•16个 •树不唯一
山东大学电气学院电路课件第十五章
•树支数 bt= n-1
•连支数 bl=b-(n-1)
•单连支回路(基本回路)
•4
•1 •3 •5 •6
•2
•树支数 4 •连支数 3
•7 •单连支回路
•支 •结 •1 2 3 4 5 6
•1 •-1 -1 1 0 0 0
•[Aa]•=2 •0 0 -1 -1 0 1
•独立回路
•4 •1
•5
PPT文档演模板
山东大学电气学院电路课件第十五章

§15-1

割1.集割集
•定义的:概连念通图G的一个割集是G 的一个支路集合,把这些支


移去将使G 分离成两部分,但是若少移去一条支路图G

仍是连通的,常用Q表示。
•支路集合Q : •a) 这些支路移去将使G 分离成两部分;
•G
•1
•2
•3
•5
•4
•6
••移去支路集合(3,4,5,6) ,图G虽被分为 两个部分,但少移去支路3图G仍被分为 两个部分。所以支路 (3,4,5,6)非割集。
•G
PPT文档演模板
山东大学电气学院电路课件第十五章
• 在G上作闭合面确定割 集
•1
•2
•3
•一般方法:作一闭合面,包围G的某些 结点,若把与闭合面相切割的所有支路移 去,G被分成两个部分,则这一组支路集 合构成一个割集


•b) 但是若少移去一条支路图G仍是连通的。 •1

刘兆军-山东大学信息科学与工程学院

刘兆军-山东大学信息科学与工程学院

山东大学青年学者未来计划申报书丄所在单位信息科学与工程学院订申请人________________ II填表日期2016年_2_月26 日线山东大学人事部制填写说明1.申报书内容要逐项填写,实际内容不发生的,请注明“无”。

有字数限制的,应严格控制在限定字数以内。

2.申请人应客观、如实填写申报材料,所在单位应严格把关,对申报材料进行认真审查。

3.“研究领域”请填写所在研究方向的关键词,至多填写三项。

4.项目“经费来源”请填写项目的具体性质,如“美国 NIH 基金项目”、“863项目子课题”、“国家社会科学基金项目”等。

5.表中涉及时间的,一律按“ 2013.09格”式填写。

6.本申报书一式一份,用 A4 双面纸打印,按左侧装订线装订。

、申请人基本情况、教学及人才培养情况(近五年)教育教学情况简介(限 500字,包括主要授课课程、授课对象以及指导研究生等情况)(1)主讲本科课程情况:2014-2015学年第二学期 “光学系统设计(英语)” 13人 36学时(3) 指导本科毕业设计:11名,其中1篇学士论文被评为山东大学优秀毕业论文(4) 指导硕士研究生:4名5)教学获奖情况:获2015年度院教学比赛二等奖、 2013年度院教学比赛二等奖、 2014年度山东大学优秀班主任。

三、主要研究成绩及代表性成果(填写近五年情况)2012- 2013学年第二学期 2013- 2014学年第一学期 2013-2014学年第一学期 2013- 2014学年第二学期 2014- 2015学年第一学期 2014-2015学年第二学期 2014- 2015学年第二学期 2015- 2016学年第一学期 (2)主讲硕士研究生课程情况 “光学课程设计” 63人 “辐射度、光度与色度及其 “光学系统设计” 61人 “光学课程设计” 74人 “光学系统设计” 62人 “光学课程设计” 80人 “光电工程实训” 24人 “光学系统设计” 19人 61.2学时量” 67人 37.12学时30.4学时88.8学时56.22学时99学时130学时学时四、可行性及预期目标五、培养期内所需研究经费预算六、申请人承诺本人郑重承诺,以上所填内容完全属实,并严格遵守资助经费相关管理规定,切实保证研究工作时间,认真开展研究工作。

山东大学 信息科学与工程学院培养方案

山东大学 信息科学与工程学院培养方案



sd01231800
修 sd01231960

组 01224 任选 sd01232011 课组
sd01231481
电子测量技术 电力电子技术 微波技术与天线 现代电视系统 现代交换技术 ( 双语 ) 数据通信与计算机网络
(双语)
sd01231871 无线通信 ( 双语 )
移动通信
sd01230831 光纤通信 ( 双语 )
1.5 29 24
教 育 sd031100(1-6)0
大学英语
8 240 128
必 sd029106(3-6)0 修
体育 (1-4)
4 128 128

sd01211140
计算机技术基础(C 语言) 3 64 32
32

sd06910010
军事理论
2 32 32
课外 10 课外 5 自主学习 112

sd01231371 软件工程 ( 双语 )
软件 sd01231471
01222 开发
课组
数据结构与数据库技术 (双语)
高级编程技术 (C#)
Java 编程技术
小计
2 2 2 2 8 2 2 2 2 8 2
2.5
3 3 10.5
总学时
总 学 时
分配
考核 开设 备
Hale Waihona Puke 授 实 上 方式 学期 注
课验机
40 24 16
考查 3 秋 考查 3 春
·098·
山东大学本科专业培养方案
sd01231900 物联 网技 sd01230100 01223 术课 sd01230250 组
sd01231850

山东大学高频电子线路[第三章高频小信号放大器]山东大(共31页)

山东大学高频电子线路[第三章高频小信号放大器]山东大(共31页)

第(Di)三(San)章高(Gao)频小信号放大器3.2.1 高频小信号放大器的主(Zhu)要质量指标1)增益输出电压(或功率(Lv))与输入电压(或功率)之比。

2)通频带通常以放大器的电压增益下降为最大值的0.7倍所对应的频率范围为通频带,以2△f暗示,如图3.2.1所示。

有时也称为3 dB带宽。

3)选择性放大器从含有各种频率的信号总和(有用的和有害的)中选出有用信号,排除有害(干扰)信号的能力,称为放大器的选择性。

暗示选择性的指标有:矩形系数和按捺比。

图3.2.2暗示矩形系数Kr的定义为显然,Kr 越小越好,抱负情况是Kr=1。

按捺比亦称抗(Kang)拒比,图3.2.3暗示按捺比的定义为d=Av0/Av放大(Da)器除了上述三个主要质量指标外,还有工作不变性、噪声系数等,应有一般了解。

3.2.2 晶体管高频小信号等效(Xiao)电路与参数1)形式等效电(Dian)路(网络参数等效电路)形式等效电路是将晶体管等效为有源线性四端网络,长处是通用,导(Dao)出的表达式具有遍及意义,便于阐发电路;错误谬误是网络参数与频率有关。

按照选用的自变量与参变量的不同,可以有不同的参数系:在低频时,最常用h参数系;在高频时,那么用y参数系比较便利。

图3.2.4所示为晶体管放大器及其y参数等效电路。

在该等效电路[图(b)]中,可列出电路方程:式中各y参数第二个脚标e暗示这是共发射极电路的参数;假设为共基极或共集电极(Ji)电路,那(Na)么第二个脚标(Biao)即用b或c。

因此输入导纳(Na)为上式(Shi)说明,输入导纳Yi 与负载导纳YL有关,这反映了晶体管有内部反响,而这个内部反响是由反向传输导纳Yre所引起的。

上式(Shi)说明,晶体管的正向传输导纳),yoe越(Yue)大,那(Na)么放大器的增(Zeng)益越大。

2)混(Hun)合π等效电路图3.2.5是晶体管的混合,π等效电路。

图中参数数值举例:g m =β0/r b'c =I c (mA)/26 (3.2.12)三个附(Fu)加电容C be 、C bc 和(He)C ce 暗示晶体管引线和封装等布局所形成(Cheng)的电容,其值很小,在一般情况,可以忽略。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档