数字钟的设计与制作,课程设计实例

合集下载

单片机多功能电子数字钟课程设计报告

单片机多功能电子数字钟课程设计报告

多功能电子数字钟设计数字钟在日常生活中最常见, 应用也最广泛。

本文主要就是设计一款数字钟, 以89C52单片机为核心, 配备液晶显示模块、时钟芯片、等功能模块。

数字钟采用24小时制方式显示时间, 定时信息以及年月日显示等功能。

文章的核心主要从硬件设计和软件编程两个大的方面。

硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分组成。

软件用C语言来实现, 主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。

关键词单片机液晶显示器模块数字钟一硬件电路设计及描述;1.MCS-51单片机单片机是在一块硅片上集成了各种部件的微型计算机。

这些部件包括中央处理器CPU、数据存储器RAM、程序存储器ROM、定时器/计数器和多种I/O接口电路。

8051单片机的结构特点有以下几点: 8位CPU;片内振荡器及时钟电路; 32根I/O线;外部存储器ROM和RAM;寻址范围各64KB;两个16位的定时器/计数器; 5个中断源, 2个中断优先级;全双工串行口。

定时器/计数器8051内部有两个16位可编程定时器/计数器, 记为T0和T1。

16位是指他们都是由16个触发器构成, 故最大计数模值为2 -1。

可编程是指他们的工作方式由指令来设定, 或者当计数器来用, 或者当定时起来用, 并且计数(定时)的范围也可以由指令来设置。

这种控制功能是通过定时器方式控制寄存器TMOD来完成的。

在定时工作时, 时钟由单片机内部提供, 即系统时钟经过12分频后作为定时器的时钟。

技术工作时, 时钟脉冲由TO和T1输入。

中断系统8051的中断系统允许接受五个独立的中断源, 即两个外部中断申请, 两个定时器/计数器中断以及一个串行口中断。

外部中断申请通过INTO和INT1(即P3.2和P3.3)输入, 输入方式可以使电平触发(低电平有效), 也可以使边沿触发(下降沿有效)。

2.8051的芯片引脚如图1-2所示VCC: 供电电压。

多功能数字钟(课程设计版)

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。

2.秒、分为00-59六十进制计数器。

3.时为00-23二十四进制计数器。

4.可手动校正:能分别进行秒、分、时的校正。

只要将开关置于手动位置。

可分别对秒、分、时进行连续脉冲输入调整。

5.整点报时。

整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日索引摘要 (3)Abstract (3)1系统原理框图 (5)2方案设计与论证 (6)2.1时间脉冲产生电路 (6)2.2分频器电路 (9)2.3时间计数器电路 (10)2.4译码驱动及显示单元电路 (11)2.5校时电路 (12)2.6报时电路 (13)3单元电路的设计 (14)3.1时间脉冲产生电路的设计 (14)3.2计数电路的设计 (15)3.2.1 60进制计数器的设计 (15)3.2.2 24进制计数器的设计 (15)3.3译码及驱动显示电路 (16)3.4 校时电路的设计 (17)3.5 报时电路 (18)3.6电路总图 (20)4仿真结果及分析 (21)4.1时钟结果仿真 (21)4.2 秒钟个位时序图 (21)4.3报时电路时序图 (22)4.4测试结果分析 (22)5心得与体会 (23)6参考文献 (24)附录1原件清单 (25)附录2部分芯片引脚图与功能表 (26)摘要多功能数字钟具有时间显示、闹钟设置、环境温度测量、电网电压、电网频率显示,闹铃控制和电网电压的过压、欠压报警等功能,深受人们欢迎。

数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

数字日期电子钟课程设计

数字日期电子钟课程设计

数字日期电子钟课程设计一、课程目标知识目标:1. 让学生理解数字日期电子钟的基本原理和组成结构,掌握电子时钟的时间计算方法。

2. 使学生掌握电子时钟中时分秒的表示方法,能够正确读取和设置电子时钟的时间。

3. 帮助学生了解电子时钟的历史背景及其在现代社会中的应用。

技能目标:1. 培养学生动手操作能力,能够独立完成电子时钟的组装和调试。

2. 提高学生运用电子时钟相关知识解决实际问题的能力,例如进行时间计算、设置闹钟等。

3. 培养学生团队协作能力,分组进行电子时钟的设计与制作。

情感态度价值观目标:1. 培养学生对电子技术的兴趣和爱好,激发他们探索未知领域的热情。

2. 培养学生珍惜时间、合理安排生活的意识,使他们在日常生活中养成良好的时间管理习惯。

3. 培养学生尊重科学、勇于创新的价值观,鼓励他们积极参与科技创新活动。

本课程针对的学生特点是具备一定的电子技术基础知识,对新鲜事物充满好奇心,善于动手操作。

根据教学要求,课程以实践为主,理论联系实际,注重培养学生的动手能力、团队协作能力和创新能力。

通过本课程的学习,学生将能够掌握电子时钟的相关知识,提高自己的时间管理能力,培养科技创新意识。

后续教学设计和评估将围绕以上课程目标进行。

二、教学内容1. 电子时钟原理:介绍电子时钟的基本原理,包括晶振振荡器、分频器、计数器等组成部分的工作原理。

教材章节:《电子技术》第四章第三节“振荡器与分频器”。

2. 时分秒表示方法:讲解电子时钟中时分秒的编码表示方法,以及如何进行时间读取和设置。

教材章节:《电子技术》第四章第四节“数字显示技术”。

3. 电子时钟组装与调试:指导学生动手组装电子时钟,并进行调试,确保电子时钟正常运行。

教材章节:《电子技术》第四章实验“电子时钟的制作”。

4. 时间计算与应用:通过实例讲解,使学生掌握电子时钟在时间计算、闹钟设置等实际应用中的方法。

教材章节:《电子技术》第四章第五节“电子时钟的应用”。

5. 电子时钟发展历史及其在现代社会中的应用:介绍电子时钟的历史演变,以及在交通、通信、日常生活等领域的应用。

数字钟课程设计(完整原理图)

数字钟课程设计(完整原理图)

课程设计报告题目数字钟-数电课程设计2011-2012 第一学期班级姓名学号指导教师单位年月日前言20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。

时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。

忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。

但是,一旦重要事情,一时的耽误可能酿成大祸。

例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电时间。

尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。

注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。

手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。

所以,要制作一个定时系统。

随时提醒这些容易忘记时间的人。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。

诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义。

目录一、设计目的................................................................................................................................... - 2 -二、设计要求 ................................................................................................................................ - 3 -2.1设计指标 (3)2.2设计要求 (3)2.3制作要求 (3)2.4编写设计报告 (3)三、各单元电路设计 .................................................................................................................... - 4 -3.1工作原理 (4)3.2原理框图 (4)3.3振荡器 (5)3.3.1由石英晶体振荡器构成的1HZ秒脉冲信号 .................................................................. - 5 -3.4时间计数器电路 (6)3.4.1秒计数器的设计.............................................................................................................. - 6 -3.4.2分计数器的设计.............................................................................................................. - 7 -3.4.3时计数器电路.................................................................................................................. - 8 -3.5译码驱动及显示单元的设计 (9)3.6校时电路 (9)3.7整点报时电路 (10)3.7.1 论证............................................................................................................................. - 10 -3.7.2 实现............................................................................................................................. - 10 -四、总电路设计 .......................................................................................................................... - 12 -五、元件清单 .............................................................................................................................. - 12 -六、课程设计体会 ...................................................................................................................... - 13 -七、参考文献 .............................................................................................................................. - 14 -一、设计目的1、熟悉集成电路的引脚安排;2、掌握各芯片的逻辑功能及使用方法;3、了解数字钟的组成及工作原理;4、熟悉数字钟的设计与制作;5、熟悉Protel99 SE软件的操作;二、设计要求2.1设计指标时间以24小时为一个周期;能显示时,分,秒;有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

数字钟课程设计

数字钟课程设计

2.课程设计----课题名称 1.1 课程设计目的 1.2 课程设计仪器设备 1.3 课程设计内容及要求 (如:将课题分成几个主要功能部分) 1.4 课程设计原理 1.5 课程设计步骤 1.6 结果测试及解释(讨论分析) 1.7 小结(体会) 所用仪器、工具: 1、5V稳压电源3台; 2、剪刀10把 3、焊锡若干。
数字钟课程设计
void init(void) { TMOD=0x11; TH0=(65536 - 50000) / 256; TL0=(65536 - 50000) % 256; ET0=1; TR0=1;
//T0赋初值,延时50毫秒
TH1=(65536 - 1000) / 256; //T1赋初值,延时1毫秒 TL1=(65536 - 1000) % 256; ET1=1; TR1=1; EA=1; }
{
If (deda>=20) {deda=0; sec++;} If (sec>=60) { sec=0; min++; } If (min>=60) {min=0; hour++;} If (hour>=24) {hour=0;week++;}
If (week>7) { week=1;}
}
数字钟课程设计 Void time1 ( void ) interrupt 3
uchar code WEEK_SEG7[8] uchar ACT[8]
//8个数码管的位选线 week=1; //定义变量 星期)
uchar deda, sec, min, hour, (50ms计数,秒、 分、 时、
uchar cnt;
// 刷新8个数码管的循环显示计数。

数电课程实验报告——数字钟的设计

数电课程实验报告——数字钟的设计

.《数字电子技术》课程设计报告设计题目: 数字钟班级学号:1407080701221 1407080701216 1407080701218学生:志强企海清指导教师:周玲时间:2016.6.15-2016.6.16《数字电子技术》课程设计一、设计题目:数字钟的设计一、设计任务与要求:1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

其中时为24进制,分秒为60进制。

2. 其他功能扩展:(1)设计一个电路实现时分秒校准功能。

(2)闹钟功能,可按设定的时间闹时。

(3)设计一个电路实现整点报时功能等。

在59分51秒、53秒、55秒、57秒输出750Hz 音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。

二、设计方案:数字电子钟由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器的输出分别经译码器送显示器显示。

计时出现误差时,可以用校时电路校时、校分。

三、芯片选定及各单元功能电路说明:实验器材及主要器件(1)CC4511 6片(2)74LS90 5片(3)74LS92 2片(4)74LS191 1片(5)74LS00 5片(6)74LS04 3片(7)74LS74 1片(8)74LS2O 2片(9)555集成芯片1片(10)共阴七段显示器6片(11)电阻、电容、导线等若干①振荡器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。

它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。

这用压电谐振的频率即为晶体振荡器的固有频率。

数字钟课程设计(万能模板)

数字钟课程设计(万能模板)

单片机课程设计实验报告课设名称:电子时钟1.1 设计背景随着科学技术的发展和电子技术产业结构调整,单片机开始迅速发展,由于家用电器逐渐普及,市场对于智能时钟控制系统的需求也越来越大。

近些年,人们对数字钟的要求也越来越高,传统的时钟已不能满足人们的需求。

多功能数字钟不管在性能还是在样式上都发生了质的变化,有电子闹钟、数字闹钟等等。

由单片机作为数字钟的核心控制器,可以通过它的时钟信号进行计时实现计时功能,将其时间数据经单片机输出,利用显示器显示出来1.2 课程设计目的通过《单片机原理与应用》课程设计,使学生掌握单片机及其扩展系统设计的方法和设计原则及相应的硬件调试的方法。

进一步加深单片机及其扩展系统设计和应用的理解1.3 设计要求1、主电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成3、译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来二、总体方案设计2.1 电路的总体原理框图根据以上的电子时钟的设计要求可以分为以下的几个硬件电路模块:单片机模块、数码显示模块与按键模块,模块之间的关系图如下面得方框电路图1所示2.5 总体方案介绍2.5.1 计时方案单片机数码管显示晶振时间调整器利用STC89C52单片机内部的定时/计数器进行中断时,配合软件延时实现时、分、秒的计时。

该方案节省硬件成本,且能使读者在定时/计数器的使用、中断及程序设计方面得到锻炼与提高,对单片机的指令系统能有更深入的了解,从而对学好单片机技术这门课程起到一定的作用。

2.5.2 控制方案STC89C52的P0口和P1口外接由八个LED数码管(LED8~LED1)构成的显示器,用P0口作LED的段码输出口,P1口作八个LED数码管的位控输出线,P3口外接四个按键A、B、C构成键盘电路。

2.6元件清单1.STC89C52RC处理器若干2.共阳四位八段数码管若干3.焊接单股导线若干4.STC89C52RC处理器若干5.共阳四位八段数码管若干6.焊接单股导线若干每人必备件(1)1K电阻8个(2)10K电阻5个(3)9012三极管4个(4)30pF电容2个(5)10uF电容1个(6)12M晶振1个(7)40脚插座1个(8)14脚插座1个三、数字钟的硬件设计3.1.1 芯片分析STC89C52单片机引脚图如下:MCS-51单片机是标准的40引脚双列直插式集成电路芯片,其各引脚功能如下:VCC:+5V电源(隔行)VSS:接地。

武汉理工大学课程设计数字钟

武汉理工大学课程设计数字钟

目录摘要 (1)1数字钟总构成 (2)2数字钟单元电路设计 (3)2.1 1HZ方波信号设计 (3)2.2时间计数单元电路设计 (4)2.2.1计数器74LS90和74LS161 (4)2.2.2时计时电路 (7)2.2.3分(秒)计时电路 (9)2.2.4计时电路的比较 (11)2.3译码显示单元电路设计 (11)2.3.1译码器74LS48 (12)2.3.2显示器LG5011AH (13)2.3.3译码显示电路 (14)2.4 校时单元电路设计 (14)3数字钟的实现及工作原理 (15)4电路的安装与调试 (16)5心得体会 (17)参考文献 (19)摘要数字钟是一种用数字电路技术实现时、分、秒计时的钟表。

与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,已得到广泛的使用。

数字钟的设计方法有许多种,本次试验运用555多谐振荡器、计时器、显示译码器、校正时间电路设计出可以显示时分秒(时为12进制,分为60进制)并且可以校时的多功能数字钟。

关键词:数字钟计时器555多谐振荡器显示译码器多功能数字钟的设计与制作1数字钟总构成数字钟由1HZ的方波信号发生器、计时器、显示译码器、校时电路组成。

其框图如下图1.1所示。

图1.1数字钟框图1HZ信号发生器由555定时器构成的多谐振荡器产生。

时分秒计时器由计数器组成。

其中,时为十二进制,时个位为二进制,时十位为十进制,在计数为十二时同时清零;分为六十进制,分(秒)十位为六进制,分(秒)个位为十进制。

译码显示部分由BCD七段显示译码器驱动显示器件,以显示数字。

校时电路用以重新接通电源或走时出现误差时都需要对时间进行校正。

有时校正和分校正功能,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。

2数字钟单元电路设计2.1 1HZ 方波信号设计多谐振荡器是一种自激振荡器,在接通电源后,不需要外加触发信号,便能自动产生矩形(脉冲)波。

数字电子钟课程设计(刘俊)

数字电子钟课程设计(刘俊)

数字电子钟课程设计(刘俊)————————————————————————————————作者:————————————————————————————————日期:课程设计名称:电子技术课程设计题目:数字电子钟课程设计专业: 自动化班级:自动化08—7班姓名: X X X学号: XXXXXXXX课程设计成绩评定表学期第四学期姓名X X X专业自动化班级自动化08—7班课程名称电子技术课程设计设计题目数字电子钟课程设计评定标准评定指标分值得分知识创新性20理论正确性20内容难易性15结合实际性10知识掌握程度15书写规范性10工作量10总成绩100评语:任课教师时间年月日备注课程设计任务书一、设计题目数字电子钟课程设计二、设计任务设计数字式电子钟,基本要求如下:1。

设计一个时分秒计数器,并具有译码显示。

其中时为24进制,分秒为60进制.2。

实现整点报时功能三、设计计划电子课程设计共一周第1天:查找资料,方案论证第2—3天:设计单元电路第4天:设计单元电路第5天:撰写设计说明书四、设计要求1.系统工作原理说明.2.画出整个系统电路原理图。

3.电路图必须电脑绘制,图形符号符合国家标准。

4.心得体会,发展方向。

5.设计说明书符合格式规范。

指导教师:时间:年月日辽宁工程技术大学摘要本次课程设计的主题是数字电子钟.干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。

将标准秒信号送入“秒计数器”,“秒计数器"采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器"也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”.“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来.整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。

实验课程设计 数字钟的设计

实验课程设计  数字钟的设计

数字钟的设计(1)目的:设计并实现具有一定功能的数字钟。

(2)原理:原理框图如下:(3)内容1:正常的时、分、秒计时功能,分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。

(4)内容2:按键实现“校时”“校分”功能;(5)内容3:用扬声器做整点报时。

当计时到达59’50”时鸣叫。

(6)报告:根据以上的实验内容写出实验报告,包括程序框图说明、各模块工作原理、软件编译过程中遇到的问题、硬件测试和实验过程。

方案一:利用试验箱上的七段码译码器(模式7),采用静态显示,系统时钟选择1Hz 此方案最简单。

方案二:利用试验箱上的七段码译码器(模式7),采用动态扫描显示。

参看第六章实验6-2.(该方案在目前的试验箱上不可行,因为没有硬件支持。

可以用众友箱子的来看效果。

)方案三:选择真正的数字钟时钟32.768KHz的时钟,通过分频得到所要的1Hz信号。

整个系统可以是若干文件组成,用PORT MAP 实现的方式;也可以是一个文件用多进程方式实现;亦或者是用文本和图形混合的方式实现;亦或者是用LPM参数化模块实现。

下面给出一个最简单的实现方案,大家具体分析一下。

秒模块参考程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity SECOND isport(clk,clr:in std_logic;----时钟/清零信号sec1,sec0:out std_logic_vector(3 downto 0);----秒高位/低位co:out std_logic);-------输出/进位信号end SECOND;architecture SEC of SECOND isbeginprocess(clk,clr)variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数beginif clr='1' then----当ckr为1时,高低位均为0cnt1:="0000";cnt0:="0000";elsif clk'event and clk='1' thenif cnt1="0101" and cnt0="1000" then----当记数为58(实际是经过59个记时脉冲)co<='1';----进位cnt0:="1001";----低位为9elsif cnt0<"1001" then----小于9时cnt0:=cnt0+1;----计数elsecnt0:="0000";if cnt1<"0101" then----高位小于5时cnt1:=cnt1+1;elsecnt1:="0000";co<='0';end if;end if;end if;sec1<=cnt1;sec0<=cnt0;end process;end SEC;小时模块参考程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(reset,clk : in std_logic;daout : out std_logic_vector(7 downto 0)); end hour;architecture behav of hour issignal count : std_logic_vector(3 downto 0); signal counter : std_logic_vector(3 downto 0); beginp1: process(reset,clk)beginif reset='0' thencount<="0000";counter<="0000";elsif(clk'event and clk='1') thenif (counter<2) thenif (count=9) thencount<="0000";counter<=counter + 1;elsecount<=count+1;end if;elseif (count=3) thencount<="0000";counter<="0000";elsecount<=count+1;end if;end if;end if;end process;daout(7 downto 4)<=counter; daout(3 downto 0)<=count; end behav;。

多功能数字钟的设计及制作

多功能数字钟的设计及制作

多功能数字钟的设计及制作1.设计分析本次设计的数字钟具有校时功能。

我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。

一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1图1-1 总体方框图2.设计内容2.1秒脉冲产生部分本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。

虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。

只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。

555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。

555定时器逻辑符号如图2-1所示:图2-1 555定时器逻辑符号管脚功能如表2-1所示:图2-2 秒脉冲电路根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。

充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC)t1=(R1+R2)C*㏑2=0.7(R1+R2)C放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC)t2=R2*C㏑2=0.7R2*C脉冲周期为: t=t1+t2=0.7(R1+2R2)C脉冲频率为: f=1/t=1.43/(R1+2R2)C令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的)代入数据,计算得,f=0.94HZ≈1HZ基本满足实验要求。

2.2计数部分计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。

它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。

电子数字时钟课程设计报告(完整实物图+原理图+web图)

电子数字时钟课程设计报告(完整实物图+原理图+web图)

数字电子钟的设计1. 设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标1. 时间以12小时为一个周期;2. 显示时、分、秒;3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。

4、完成3000字的课程设计报告2. 功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。

工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现24小时的累计。

LED数码管将“时、分、秒”计数器的输出状态显示。

校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2 原理框图3. 功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。

用555实现多谐振荡,需要R1,R2和电容,并接+5V的直流电源。

数字钟课程设计报告

数字钟课程设计报告

电气与电子信息工程学院课程设计报告设计:电子设计与实训课程设计专业名称:电气工程及其自动化班级:学号:姓名:指导教师:设计时间:2009.12.14—2009.12.25 设计地点:K2—电子实习(2)室课程设计目录一、封面二、目录三、设计任务书四、数字钟的设计与制作1、设计目的2、设计要求3、设计所需器材及工具4、设计方案及论证①设计逻辑框图及原理方框图②“秒脉冲信号发生器”的设计、原理图,芯片引脚排列图及功能表③秒计数、译码/驱动及显示部分的设计④分计数、译码/驱动及显示部分的设计⑤时计数、译码/驱动及显示部分的设计⑥分时校准电路的设计5、焊接技术及安装工艺6、调试步骤及故障排除7、附图五、稳压电源的设计与制作1、设计目的及要求2、设计所需的器材及工具3、设计内容及步骤①设计逻辑框图及电路原理图②常用电子仪表的使用及注意事项③常用电子元器件的认识及测量④通电调剂及故障排除六、设计小结七、设计参考资料数字钟的设计与制作一、设计目的通过设计与实践,制作出具有准确显示小时、分、秒的数字钟,且可以校时。

二、设计要求数字钟的功能要求:用六位LED 数码管显示时、分、秒,一24小时即使方式运行,使用按键开关可实现时分调整功能。

三、设计所需器材与工具主要工具及附加材料:电烙铁、烙铁架、焊锡丝、松香、导线、镊子、钳子、数字万用表、吸锡器、剥线钳、等等。

四、设计方案论证1、设计逻辑图及原理方框图逻辑框图 原理方框图多功能数字钟主体电路元器件清单元件名称 元件型号 元件数量 元件说明电路板 通用板 1 3孔连在一起的通用板(十行)电解电容 47uF 1 极性电容 瓷片电容 30pF 2 无极性电容151pF 1 电阻 10K 4 电阻 4.7K 6 电阻 520欧 8 电阻 集成芯片 SN74LS244 1 AT89C52 1 单片机 芯片插槽40孔 1 40孔芯片插槽 16孔 1 16孔芯片插槽发光二极管2 显示数码管 共阳 6 七段共阳数码管 开关小按钮开关 4按钮头要高一点的晶振 1由上图的总体结构图可知,该设计大概可以分部分:秒脉冲产生部分、计数部分、显示部分、校时部分。

数电课程设计报告-数字电子钟东北大学

数电课程设计报告-数字电子钟东北大学

数电课程设计报告-数字电子钟东北大学第一篇:数电课程设计报告-数字电子钟东北大学课程设计报告设计题目:数字电子钟设计与实现班级:学号:姓名:指导教师:设计时间:摘要数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。

由于数字集成电路技术的发展采用了先进的三石英技术,使数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。

尽管目前市场上已有现成的数字时钟电路芯片出售,价格便宜、使用也方便,但鉴于数字时钟电路的基本组成包含了数字电路的组成部分,因此进行数定时钟的设计是必要的。

在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来增养我们的综合分析和设计电路的能力。

本次设计以数字时钟为主,实现对时、分、秒数字显示的计数器计时装置,周期为24小时,显示满为23时59分59秒并具4有校时功能的数电子时钟。

电路主要采用中规模的集成电路,本电路主要脉冲产生模块、校时模块、两个六十进制模块(分、秒)、一个二十四进制模块(时)和一个报时逻辑电路组成。

时、分、秒再通过BCD-7段译码显示屏显示出来。

关键词:计数器译码器校时目录概述2 课程设计任务及要求2.1 设计任务2.2 设计要求3 理论设计3.1方案论证3.2 系统设计3.2.1 结构框图及说明3.2.2 系统原理图及工作原理3.3 单元电路设计3.3.1秒脉冲电路设计3.3.2时、分、秒计数器电路3.3.3校时电路3.3.4译码显示电路3.3.5定时电路设计4.软件仿真4.1 仿真电路图4.2 仿真过程4.2 仿真结果5.结论6.使用仪器设备清单7.参考文献。

8.收获、体会和建议。

5 5 8 10 11 13 15 16181919202.课程设计及要求2.1设计任务数字电子时钟是一种用数字电路技术实现“时”、“分”、“秒”计时的装置。

数电课程实验报告-数字钟的设计

数电课程实验报告-数字钟的设计

《数字电子技术》课程设计报告设计题目: 数字钟班级学号:1407080701221 1407080701216 1407080701218学生姓名:谢志强陈企张海清指导教师:周玲时间:2016.6.15-2016.6.16《数字电子技术》课程设计一、设计题目:数字钟的设计一、设计任务与要求:1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

其中时为24进制,分秒为60进制。

2. 其他功能扩展:(1)设计一个电路实现时分秒校准功能。

(2)闹钟功能,可按设定的时间闹时。

(3)设计一个电路实现整点报时功能等。

在59分51秒、53秒、55秒、57秒输出750Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。

二、设计方案:数字电子钟由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器的输出分别经译码器送显示器显示。

计时出现误差时,可以用校时电路校时、校分。

三、芯片选定及各单元功能电路说明:实验器材及主要器件(1) CC4511 6片(2) 74LS90 5片(3) 74LS92 2片(4) 74LS191 1片(5) 74LS00 5片(6) 74LS04 3片(7) 74LS74 1片(8) 74LS2O 2片(9) 555集成芯片 1片(10)共阴七段显示器 6片(11)电阻、电容、导线等若干①振荡器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。

它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

XXXX课程设计题目:数字钟的设计与制作系别:专业:班级:学生姓名:指导老师:完成日期:《电子技术》课程设计任务书数字钟的设计与制作设计任务和要求1.设计指标⑥时间以12小时为一个周期;⑦显示时、分、秒;⑧具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;⑨计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑩为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2.设计要求⑤画出电路原理图(或仿真电路图);⑥元器件及参数选择;⑦电路仿真与调试;⑧PCB文件生成与打印输出。

3.制作要求自行装配和调试,并能发现问题和解决问题。

4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

1.1选题的意义数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.1.2 设计方案数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

图1-1 数字钟组成框图1.3数字钟电路的设计 1.3.1.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz 的方波信号,可保证数字钟的走时准确及稳定。

不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。

如图1-2所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。

输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。

电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。

由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

图1-2 CMOS 晶体振荡器(仿真电路)1.3.2 时间记数电路一般采用10进制计数器如74HC290、74HC390等来实现时间计数单元的计数功能。

本次设计中选择74HC390。

由其内部逻辑框图可知,其为双2-5-10异步计数器,并每一计数器均有一个异步清零端(高电平有效)。

秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。

CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连。

图1-3时间记数电路秒十位计数单元为6进制计数器,需要进制转换。

将10进制计数器转换为6进制计数器的电路连接方法如图1-4所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。

图1-4 十进制-六进制转换电路分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换。

利用1片74HC390实现12进制计数功能的电路如图图1-5所示。

图1-5 十二进制电路另外,图1-5 所示电路中,尚余-2进制计数单元,正好可作为分频器2H输出信号转化为1HZ信号之用。

Z1.3.3.译码驱动及显示单元电路选择CD4511作为显示译码电路;选择LED数码管作为显示单元电路。

由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。

这里的LED 数码管是采用共阴的方法连接的。

计数器实现了对时间的累计并以8421BCD码的形式输送到CD4511芯片,再由4511芯片把BCD码转变为十进制数码送到数码管中显示出来。

1.3.4.校时电路数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。

即为用COMS与或非门实现的时或分校时电路,In1端与低位的进位信号相连;In2端与校正信号相连,校正信号可直接取自分频器产生的1H Z或2H Z(不可太高或太低)信号;输出端则与分或时个位计时输入端相连。

当开关打向下时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态。

实际使用时,因为电路开关存在抖动问题,所以一般会接一个RS触发器构成开关消抖动电路,所以整个较时电路就如图1-6。

图1-6 带有消抖电路的校正电路1.3.5.整点报时电路电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。

报时电路可选74HC30来构成。

74HC30为8输入与非门。

5V数字钟设计-整点报时电路部分1.4、元器件1.四连面包板1块(编号A45)2.镊子1把3.剪刀1把4.共阴八段数码管6个5.网络线2米/人6.CD4511集成块6块7.CD4060集成块1块8.74HC390集成块3块9.74HC51集成块1块10.74HC00集成块4块11.74HC30集成块1块12.10MΩ电阻5个13.500Ω电阻14个14.30p电容2个15.32.768k时钟晶体1个16.蜂鸣器10个(每班)1)芯片连接图1)74HC00D 2)CD45113)74HC390D 4)74HC51D2.面包板的介绍面包板一块总共由五部分组成,一竖四横,面包板本身就是一种免焊电板。

面包板的样式是:面包板的注意事项:1.面包板旁一般附有香蕉插座,用来输入电压、信号及接地。

2.上图中连着的黑线表示插孔是相通的。

3.拉线时,尽量将线紧贴面包板,把线成直角,避免交叉,也不要跨越元件。

4.面包板使用久后,有时插孔间连接铜线会发生脱落现象,此时要将此排插孔做记号。

并不再使用。

1.4、各功能块电路图数字钟从原理上讲是一种典型的数字电路,可以由许多中小规模集成电路组成,所以可以分成许多独立的电路。

1.4.1六进制电路由74HC390、7400、数码管与4511组成,电路如图一。

SEVEN_SEG_COM_K将十进制计数器转换为六进制的连接方法1.4.2十进制电路由74HC390、7400、数码管与4511组成,电路如图二。

1.4.3六十进制电路由两个数码管、两4511、一个74HC390与一个7400芯片组成,电路如图三。

1.4.4双六十进制电路由2个六十进制连接而成,把分个位的输入信号与秒十位的Qc 相连,使其产生进位,电路图如图四。

1.4.5时间计数电路由1个十二进制电路、2个六十进制电路组成,因上面已有一个双六十电路,只要把它与十二进制电路相连即可,详细电路见图五。

1.4.6校正电路由74CH51D 、74HC00D 与电阻组成,校正电路有分校正和时校正两部分,电路如图六。

1.4.7晶体振荡电路由晶体与2个30pF 电容、1个4060、一个10兆的电阻组成,芯片3脚输出2Hz 的方波信号,电路如图七。

1.4.8整点报时电路由74HC30D和蜂鸣器组成,当时间在59:50到59:59时,蜂鸣报时,电路如图八。

5V数字钟设计-整点报时电路部分1.5总接线元件布局简图整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。

其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。

电路的信号输入由晶振电路产生,并输入各电路。

简图如图九。

1.6芯片连接总图因仿真与实际元件上的差异,所以在原有的简图的基础上,又按实际布局画了这张按实际芯片布局的接线图,如图十。

1.7、安装调试1.7.1实验过程中遇到的问题及解决方法面包板测试测试面包板各触点是否接通。

七段显示器与七段译码器的测量把显示器与CD4511相连,第一次接时,数码管完全没有显示数字,检查后发现是数码管未接地而造成的,接地后发现还是无法正确显示数字,用万用表检测后,发现是因芯片引脚有些接触不良而造成的,所以确认芯片是否接触良好是非常重要的一件事。

1.7.2时间计数电路的连接与测试六进制、十进制都没有什么大的问题,只是芯片引脚的老问题,只要重新插过芯片就可以解决了。

但在六十进制时,按图接线后发现,显示器上的数字总是100进制的,而不是六十进制,检测后发现无论是线路的连通还是芯片的接触都没有问题。

最后,在重对连线时发现是线路接错引脚造成的,改过之后,显示就正常了。

1.7.3校正电路因上面程因引脚接错而造成错误,所以校正电路是完全按照仿真图所连的,在测试时,开始进行时校时时,没有出现问题,但当进行到分校时时,发现计数电路的秒电路开始乱跳出错。

因此,电路一定是有地方出错了,在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的,因此,在接线时一定要注意把不要的多余的线拿掉。

1.8总结1.8.1设计体会通过这次对数字钟的设计与制作,让我了解了设计电路的程序,也让我了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。

但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。

而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。

所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。

通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。

相关文档
最新文档