数电数字钟
数电设计数字钟基于QUARTUS完整版
数电设计数字钟基于Q U A R T U SHEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】大连理工大学本科实验报告题目:数电课设——多功能数字钟课程名称:数字电路课程设计学院(系):电信学部专业:电子与通信工程班级:学生姓名: ***************学号:***************完成日期:成绩:2010 年 12 月 17 日题目:多功能数字时钟一.设计要求1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)2)具有手动校时校分功能3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零二.设计分析及系统方案设计1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。
基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。
利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。
将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。
进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码从59分50秒开始,数字钟进入整点报时功能。
每隔两秒提示一次。
(本设计中以两个LED灯代替蜂鸣器,进行报时)2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。
可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。
将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。
数电设计数字钟基于QUARTUS
大连理工大学本科实验报告题目:数电课设——多功能数字钟课程名称:数字电路课程设计学院(系):电信学部专业:电子与通信工程班级:学生姓名: ***************学号:***************完成日期:成绩:2010 年 12 月 17 日题目:多功能数字时钟一.设计要求1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)2)具有手动校时校分功能3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零二.设计分析及系统方案设计1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。
基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。
利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。
将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。
进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码管显示。
从59分50秒开始,数字钟进入整点报时功能。
每隔两秒提示一次。
(本设计中以两个LED灯代替蜂鸣器,进行报时)2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。
可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。
将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。
3.多功能数字钟的闹钟功能部分,通过按键(KEY1,KEY2,KEY3)设定闹钟时间,当时钟进入闹钟设定的时间(判断时钟的时信号qq6,qq5与分信号qq4,qq3分别与闹钟设定的时信号r6,r5与分信号r4,43是否相等),则以LED灯连续提示一分钟。
数电课设-数字钟
数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数字时钟数电课程设计
数电课程设计报告目录第一章数字钟设计原理及组成框图〃〃〃〃〃〃〃〃〃〃〃〃31.1 工作原理〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃31.2 设计要点〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃41.3 组成框图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃4 第二章数字时钟单元电路设计〃〃〃〃〃〃〃〃〃〃〃〃〃〃42.1 振荡器电路〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃42.2 计数器〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃62.3 译码显示〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃72.4 时分秒计时电路的设计〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃82.5 手动校时〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃9 第三章总电路设计图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃9 第四章硬件安装与调试〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃114.1 硬件各部分的测试〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃114.2 硬件安装〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃11 第五章心得与体会〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃12第六章附录〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃13第一章数字钟设计原理及组成框图1.1工作原理数字电子钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用振荡器电路构成数字钟。
数字电子钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装臵。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时等附加功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器,校时电路、报时电路和振荡器组成。
干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用振荡器加分频器来实现。
数电课设--数字钟的设计
数电课设--数字钟的设计摘要:该设计主要是设计一种基于数字电路实现的数字钟,用于显示当前时间,同时设计一个简单的时间调整系统来实现对数字钟的时间调整。
本设计实现了数字钟的时间显示、时间调整等功能,具有简单、实用等优点。
关键词:数字钟、计数器、时间调整系统一、引言数字钟是一种时钟显示设备,它可以在显示面板上显示当前时间,数字钟的普及改变了人们观念上的关于时间知识的变革。
本课设就是要通过设计一个数字钟,来综合应用我们所学的数字电路知识,通过数字电路的设计实现时间的显示及调整。
二、数字钟的设计原理数字钟的设计离不开计数器和定时器,计数器的作用是进行计数操作,进而对时间进行处理,定时器的作用是用来控制计数器的计数和复位,使其能够按照固定的时间序列不断进行计数。
数字钟的显示部分采用数码显示管显示当前时间,数码显示管显示的时间单位有小时、分钟和秒。
三、数字钟的设计方案数字钟的设计方案可以分为两部分,一部分是计数器及定时器的设计,另一部分是时间调整系统的设计。
下面分别进行介绍。
(一)计数器及定时器的设计计数器采用7474型D触发器进行设计,二进制计数器采用模8计数模式,带有异步复位功能。
其中,D触发器的Vcc接+5V电源,GND接地,CLK接定时器的输出,D接Q的输出,Q接下一级触发器D端。
计数器采用8253/8254型定时器,应该根据标准时钟的频率和预置值计算计数器的频率和复位时间。
时间调整功能通常是通过8255接口芯片实现。
(二)时间调整系统的设计时间调整系统通过单片机实现,主要实现以下功能:上下键切换修改时间单位、按键快速调整修改时间数字、按键高频稳定范围设置、判断闹钟是否开启、日历选择等。
四、数字钟的实现数字钟的实现可以参考实验教材进行,实现前需要明确以下几点:1. 根据实际需求确定数字钟的参数:例如显示的时间格式,以及是否需要设置闹钟等。
2. 设计好数字钟的原理图,并选择适合的元件进行接线。
3. 进行电路调试和测试,对电路进行稳定性测试等。
数字电子技术认识实验——数字钟.ppt
74LS161计数器
L
74LS161计数器时序图
74LS161计数器功能表
计数
输入计 计数器输出 对应 输入计 计数器输出 对应
数脉冲 顺序
QD
QC
QB
QA
十进 制数
数脉冲 顺序
QD
QC
QB
QA
十进 制数
0 0000 0 8 1000 8 1 0001 1 9 1001 9 2 0 0 1 0 2 10 1 0 1 0 10 3 0 0 1 1 3 11 1 0 1 1 11 4 0 1 0 0 4 12 1 1 0 0 12 5 0 1 0 1 5 13 1 1 0 1 13 6 0 1 1 0 6 14 1 1 1 0 14
7 0 1 1 1 7 15 1 1 1 1 15
➢制计数器
74LS161接成10进 制计数器
74LS161接成6进制 计数器
与非门用74LS00
与非门74LS00引脚
书上第298页
六十进制计数器(显示秒或分)
24进制计数器(显示小时)
用74LS00
Qa Qb Qc Qd
Qa Qb Qc Qd
同步60进制(或24进制)计数器
将十进制和六进制连接起来,然后再分别将十进制的输出 (Q1d6V、CC15Qf c1、4 g Q13ba 、12 bQ1a1 c)10和d 9六e进制1的6VC输C15 f出14(gQ1d3 a、12Qb c1、1 c Q10 bd 、9 eQa )分
查功能是否正确。
手动检查无误后,再用实验箱上的CP方波作为 输入脉冲。
用示波器观察并记录计数器的CP端和QD、QC、 QB、QA端的波形,对计数器进行动态测试。
数字电路课程设计--数字时钟
《数字时钟》技术报告概要数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒。
一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。
由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。
本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。
通过采用各种集成数字芯片搭建电路来实现相应的功能。
具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。
该电路具有计时和校时的功能。
在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。
实验证明该设计电路基本上能够符合设计要求!一、系统结构。
(1)功能。
此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。
(2)系统框图。
系统方框图1(3)系统组成。
1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。
2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。
3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。
4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。
5.显示模块:由7段数码管来起到显示作用,通过接受CD4511的信号。
本次选用的是共阴型的CD4511。
二、各部分电路原理。
1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。
最终如图3接口就输出矩形波,而形成的秒脉冲。
数字电路课程设计课件(数字钟)
三、主体电路的设计
设计原则
尽量选用同类型的器件,即所有功能部件都采用TTL或 CMOS集成电路。 整个系统所用的器件种类应尽可能少。
1、振荡器的设计
振荡器是数字钟的核心。振荡器的稳定度及频率的精确 度决定了数字钟计时的准确程度,通常选用石英晶体构 成振荡器电路。一般来说,振荡器的频率越高,计时精 度越高。
12 11 Q0 Q3 74LS90(1) CPA CPB R0(1) R9(1) 14 1 2 6
Q0 Q3 74LS90(3) CPA CPB R0(1) R9(1)
四、设计任务及要求
1. 功能要求
基本功能 以数字形式显示时、分、秒的时间,为节省器件, 其中小时位用发光二极管指示。要求手动快校时、快校分。
三片74LS90构成的1000分频器
三、主体电路的设计
数字电路课程设计
14 1 2 3 6 7 INA INB R01 R02 R91 R92
QA QB QC QD
12 9 8 11
U2 74LS90N U3 74LS90N
QA QB QC QD
12 9 8 11
1HZ
三、主体电路的设计
3、时分秒计数器的设计
数字电路课程设计
四、设计任务及要求
3. 设计报告参考格式
目 录 一.设计任务(设计课题、功能要求) 二.设计框图及整机概述 三.各单元电路的设计方案及原理说明 四.调试过程及结果分析 五.设计、安装及调试中的体会 六.对本次课程设计的意见及建议 七.参考文献 八.附录(包括:整机逻辑电路图和元器件清单) (目录供参考)
g
a
g
a
BS2026
g
a
g
a
g
数字电路课程设计数字时钟报告
数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。
•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。
设计目标•开发一个功能完备、性能稳定的数字时钟电路。
•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。
设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。
2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。
3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。
4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。
5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。
6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。
7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。
设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。
•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。
•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。
•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。
结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。
•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。
•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。
数电课程设计数字电子钟说明书
数字电子技术电路课程设计题目:数字钟课程设计学院:XXXXX专业:XXXXX班级:XXXX姓名:XXXX学号:XXXXX指导老师:XXXXX一、设计目的数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。
此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。
二、设计要求1.显示时,分,秒,用24小时制2.能够进行校时,可以对数字钟进行调时间3.能够正点报时(用555产生断续音频信号);三、设计方案比较方案一、采用中小规模集成电路实现采用集成逻辑电路设计具有能实现,时、分、秒计时功能和定点报时功能,计时模块采用时钟信号触发,不需要程序控制。
方案二:EDA技术实现采用EDA作为主控制器外围电路进行电压,时钟控制、键盘和LED控制。
但此方案逻辑电路复杂,外围设备多,灵活性较低,不利于扩展方案三、单片机编程实现此方案采用单片机编程来设计和控制。
综上,根据自身的知识和方案比较,采用方案一,因为方案一简便灵活,扩展性好,同时符合此次数子电子知识设计的要求。
四、设计过程和说明1.数字电子钟计时和显示功能的实现(1)采用两片十进制计数器74LS160N扩展连接,设计60进制的计数器,显示0到59,在59时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到59。
(图)(2)24进制亦采用两片十进制计数器74LS160N扩展连接,设计24进制的计数器,显示0到23,在23时采用置数的方法,将两片74LS160N同时置数至0,以循环显示0到23(图)(3)利用秒钟的置数信号(为低电平),取反后作为分钟各位的使能端(EP和ET)的控制信号,以实现分秒之间的进位功能。
同理可以实现分时之间的进位功能(4)显示功能采用Multisim里面的DCD_HEX显示管进行时分秒的显示。
数电课程设计 数字时钟
机电工程学院本科生课程设计题目:数字时钟课程:数字电子技术:任务书目录1设计的目的及任务 (1)1.1课程设计的目的 (1)1.2课程设计的任务与要求 (1)1.3课程设计的技术指标 (1)参考文献 (25)1.设计的目的及任务1.1课程设计的目的(1)巩固所学的相关理论知识;(2)实践所掌握的电子制作技能;能力(3)带有时间校正功能;(4)“闹钟”功能;2.数字时钟的介绍和原理2.1数字时钟的介绍数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。
数字时钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;也可以利用专于数字钟电路的基本组成包含了数字电路的主要组成部分,为了帮助同学们将已经学过的比较零散的数字电路的知识能够有机的、系统地联系起来用于实际,培养综合分析、设计电路的能力,进行数字钟的设计是必要的。
2.2数字时钟的电路组成?数字时钟是用数字集成电路构成,用数码显示的一种现代化计数器。
本系统由振荡器、分频器、校时电路、计数器、译码显示器以及电源电路组成。
秒脉冲发生电路产生秒脉冲信号,不同进制的计数器、译码器和显示器组成计时系统,通过校时电路实现对时、分的校准,电源电路提供稳定的+5v的电压。
?2.3数字时钟的工作原理?数字时钟实际上是一个对1HZ频率进行计数的计数电路。
由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字时钟,但是出于对1Hz(6)校时电路:由于数字钟的初始时间不一定是标准时间,而且在数字钟的运行过程中可能出现误差,所以需要校时电路来对“时、分”显示数字进行校对调整。
3.数字时钟总设计方案和各部分设计方案3.1数字时钟总设计方案数字时钟有振荡器、分频器、计数器、译码显示、报时等电路组成。
数电课程设计之数字钟
课程设计任务书学生姓名: XXX 专业班级:指导教师:工作单位:题目: 多功能数字钟电路设计初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。
要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为00-23二十四进制计数器。
4.可手动校正:能分别进行秒、分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
时间安排:第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一指导教师签名:年月日系主任(或责任教师)签名:年月日多功能数字钟电路设计摘要 (1)Abstract (2)1系统原理框图 (3)2方案设计与论证 (4)2.1时间脉冲产生电路 (4)2.2分频器电路 (6)2.3时间计数器电路 (7)2.4译码驱动及显示单元电路 (8)2.5校时电路 (8)2.6报时电路 (10)3单元电路的设计 (12)3.1时间脉冲产生电路的设计 (12)3.2计数电路的设计 (12)3.2.1 60进制计数器的设计 (12)3.2.2 24进制计数器的设计 (13)3.3译码及驱动显示电路 (14)3.4 校时电路的设计 (14)3.5 报时电路 (15)3.6电路总图 (17)4仿真结果及分析........................................... 错误!未定义书签。
4.1时钟结果仿真....................................... 错误!未定义书签。
4.2 秒钟个位时序图..................................... 错误!未定义书签。
数电课程设计--数字钟
目录摘要 (I)1 数字钟的构成 (1)2 数字钟单元电路的设计 (3)2.1 振荡器电路设计 (3)2.2 时间计数单元设计 (3)2.2.1 集成异步计数器74LS90. (3)2.2.2 用74LS90构成秒和分计数器电路 (5)2.2.3 用74LS90构成时计数器电路 (6)2.2.4 时间计数单元总电路 (6)2.3 译码显示单元电路设计 (7)2.3.1 译码器74LS48 (7)2.3.2 显示器LG5011AH (9)2.3.3 译码显示电路 (10)2.4 校时单元电路设计 (11)3 数字钟的实现电路及其工作原理 (12)4 电路的安装与调试 (13)5 课程设计心得体会 (14)参考文献 (15)附录1 (16)摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。
数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和24进制计时计数器,秒、分、时的译码显示部分及校正电路等。
采用74LS系列(双列直插式)中小规模集成芯片进行硬件的焊接。
关键词:数字钟振荡器计数器译码驱动1 数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。
秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。
计数器的输出分别经译码器送显示器显示。
由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,当计时出现误差时,可以用校时电路校时、校分。
数电课设《数字钟》
数字钟的设计内容摘要数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械时钟相比,它一般具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表、电子闹钟,大到车站﹑码头﹑机场等公共场所的大型数字显电子钟。
本课程设计是要通过简单的逻辑芯片实现数字电子钟。
要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)、74LS00(与非门芯片)等连接成60和24进制的计数器,再通过数码管显示,构成简单数字时钟。
关键词数字时钟、计数器、555芯片、分频器一、设计要求1)要求准确显示“时”、“分”、“秒”,24小时制00:00:00~23:59:592)具有整点报时功能:在每小时59分51秒、53秒、55秒、57秒发出500HZ 的低音,59秒时发出1000HZ的高音。
3)具有校时功能:以1HZ频率对“时”、“分”进行校正,且互不影响。
二、总体方案1)功能实现利用555定时器组成的多谐振荡器经分频电路产生的1kHz作为电路的时基信号。
“时、分、秒”分别为二十四进制和两个六十进制计数法。
并用数码管显示时间,74LS160组成的计数器通过译码电路(7448)驱动数码管显示数据。
“分、秒”均为六十进制计数法,即显示“00~59”;“分、秒”的个位为十进制计数法,十位为六进制计数法;“分秒”模块功能的实现:用两片74LS160组成六十进制计数器。
“小时”模块则为二十四进制计数器,显示为“00~23”;个位仍为十进制计数法,而十位则为三进制计数法,但当十进位计到“2”,而个位计到“4”时个位十位清零,组成二十四进制计数法;“时”功能的实现:用两片74LS160组成24进制计数器。
2)总体方案结构图3)方案比较计数功能的实现主要有置数法和清零法两种方案。
置数法相对于清零法要稳定一些,74LS160的清零法采用异步清零方式,及异步清零端有效时,计数立即清零,而系统存在延时性可能出现显示错误。
数电课程设计报告完整版——数字钟
数字电子钟设计摘要所谓数字钟,是指利用电子电路构成的计时器。
相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。
在此基础上,还能够实现整点报时,定时报闹等功能。
设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。
本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。
详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计,最后将设计好的模块组合调试,并最终在protues下仿真通过。
关键词:数字电子钟校时报时子系统ﻬ1前言由于现代社会的数字电子技术高速发展,电子钟应运而生,又由于电子技术的不断改进,采用中规模的逻辑器件可以使电子钟的体积变得很少,实用更加方便,应用更加广泛。
作为电气工程及其自动化专业的学生,我们都应该能够运用学到的数电和抹点知识,去解决和分析一些逻辑电路的问题,继而学会设计具有一定逻辑功能的逻辑器件,这次电子工艺实习给我们一个能力全面提升的契机。
我们设计的电子钟,严格按照设计要求,具有整点报时,调时,调分等功能,而且增加了停止计时,秒信号灯等功能。
特别是,我们的调时调分开关,都加上了消抖电路,使用了硬件消抖的方法消抖,这些都是我们组,区别于其他组的地方。
ﻬ 2 设计任务2.1 设计思路能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使用3个2位数码管显示。
总体设计本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。
该方案的优点是模块内部简单,基本不需要额外的电路,该方案结构简单,模块间关系较明确,模块外不需使用较多门电路,但不利于功能扩充。
2.2 设计方案2.2.1设计方案一、采用同步电路,总线结构时钟信号分别加到各个模块,各个模块功能相对独立,框图如下:控制总线设计方案12.2.2设计方案二、采用异步电路,数据选择器将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下:该方案用总线结构,主要功能集中在模块内部,模块功能较为独立,模块间连线简单,易于扩展,但设计难度大,门电路数量也比较多。
数字电子技术课程设计——数字钟
数字电子技术课程设计——数字钟一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,和机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计和制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真和调试;④PCB文件生成和打印输出。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计和制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能和标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。
数电实现的数字时钟
数字电路课程设计报告设计课题:多功能数字钟电路的设计与制作多功能数字钟电路的设计与制作一、设计任务与要求数字钟是采用数字电路实现时、分、秒,数字显示的计时装置。
钟表的数字化在提高报时精度的同时,也大大扩展了它的功能,诸如定时自动报警、按时自动打铃等。
因此,研究数字钟,扩大其应用,有着非常现实的意义。
1.方案设计目的用中小规模集成电路设计一台能显示时、分、秒的数字电子钟,要求如下:1.由晶体振荡电路产生1HZ的标准脉冲信号。
2.秒、分为00——59 六十进制计数器,时为00——23 二十四进制计数器3.可手动校准。
只要将开关置于校准位置,即可对分别对分、时进行手动脉冲输入校准或连续脉冲校准调整。
4.定时闹钟。
定时闹钟电路要求在所设定时间进行报时。
2. 技术指标1. 显示时、分、秒的可以24小时制或12小时制。
3. 具有校时功能:可以对小时和分单独校时,对分校时的时候,停止分向小时进位。
校时时钟源可以手动输入或借用电路中的时钟。
4. 定时功能:可以设定定时时间,当数字时钟计时到定时时间时,能进行报警。
5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。
二、方案设计与论证1.方案设计一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。
干电路系统由秒信号发生器、“时、分、秒、”计数器、译码器及显示器、电路组成。
首先构成一个555定时器产生一秒钟的震荡周期,由74LS90采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器。
使用555定时器的输出作为秒计数器的CP脉冲,把秒计数器地进位输出作为分计数器地CP脉冲,分计数器的进位输出作为时计数器的CP脉冲。
使用LED显示器进行显示。
2.方案论证(1)数字钟的基础电路秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现,在此我们用555定时器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
数字电子钟--数电(带闹钟调节时间和整点报时)
物理与电子工程学院课程设计题目:数字电子钟专业电子信息工程班级12级电信三班学号********学生姓名李长炳指导教师张小英张艳完成日期:2013 年7月数字电子钟前言:数字钟是一个将“时”、“分”、“秒’’显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时闹铃等功能。
一、基本原理时显示器分显示器秒显示器时译码器分译码器秒译码器时计数器分计数器秒计数器振荡器分频器主体电路1.1 振荡电路晶体振荡器的作用是产生时间标准信号。
我采用由门电路或555定时器构成的多谐振荡器作为时间标准信号源。
本系统中的振荡电路选用555定时器构成的多谐振荡器,见图1。
多谐振荡器的振荡频率可由式估算。
图11.2 时、分、秒显示电路模块设计①秒的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的555产生的秒脉冲链接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图2注意:两个CP都是连接到555的输出。
②分的产生采用74LS160产生60进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的秒产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图3注意:两个CP都是连接的秒的进位的输出。
③小时的产生采用74LS160产生24进制的加法计数器,输出端Q0,Q1,Q2,Q3分别接到七段数码管的相应的各端,由上图的分产生的进位连接秒的两个160的cp,第一片的进位来控制第二片的EP,ET来构成秒。
如下图所示图4注意:两个CP都是连接的秒的进位的输出。
1.3闹钟我设置的闹钟是00:03响的。
会响一分钟,采用与非门和或门组成的电路。
可以得出以下的电路图当达到00:03时就开始响,当不是00:03是就停止了,喇叭一端节地。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
湘潭大学
课程设计说明书题目:数字钟的设计
学院:能源工程学院
专业:电子信息科学与技术
学号:201092001230
班级:电信1101班
姓名:陈博
指导教师:李敏
完成日期:2013年6月8日
目录
第一章
1.1课题名称,技术指标及要求。
1.2工作电路原理图
第二章
2.1元器件选择
2.2元器件明细表
第三章
3.1设计步骤与方法
3.2调试方法
3.3设计图
第四章心得体会
第五章参考书籍
1.1课题名称,技术指标及要求。
数字中的设计
1.能直接显示“时”、“分”、“秒”十进制数字;
2.“时”计数器按24小时进制。
3.具有校时功能。
4.主要电路采用CMOS集成电路。
1.2工作电路原理图
工作原理分析数字钟是一个将“时”“分”“秒’’显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由以下几部分组成。
其整机框图如图所示。
先由石英晶体振荡电路产生稳定的脉冲震荡,经分频器转换为1HZ的脉冲信号。
脉冲给秒个位发送信号,秒个位收到信号开始计数,记10位后向秒十位来脉冲,秒十位记6位后向分个位来脉冲。
分个位开始计数,记10位后,向分十位来脉冲。
分十位开始计数,记6位后向时个位来脉冲,时个位当时十位为0、1时为十进制,当时十位为2时为4进制。
2.1元器件选择
一、晶体振荡器
晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
二、分频器电路
2)次分频后得到1Hz的方波信号供分频器电路将32768Hz的高频方波信号经32768(15
秒计数器进行计数。
分频器实际上也就是计数器。
三、计数器
有了时间标准“秒”信号后,就可以根据60秒为1分、60分为1小时、24小时为1天的计数周期,分别组成两个六十进制(秒、分)、一个二十四进制(时)的计数器。
将这些计数器适当连接,就可以构成秒、分、时的计数,实现计时功能。
四、译码和数码显示电路
译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来,被人们的视觉器官所接受。
显示器件选用LED七段数码管。
在译码显示电路输出信号的驱动下,显示出清晰、直观的数字符号。
五、校时电路
实际的数字钟电路由于秒信号的精确性和稳定性不可能做到完全(绝对)准确无误,加之电路中其它原因,数字钟总会产生走时误差的现象。
因此,电路中就应该有校准时间功能的电路。
2.2元器件明细表
3.1
设计步骤与方法
一、振荡电路
晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。
如图所示电路通过非门构成的输出为方波的数字式晶体振荡电路,这个电路中,非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。
输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。
电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的
控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。
由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。
晶体XTAL1的频率选为32768Hz。
其中C1的值取5~20 pF,C2为30pF。
C1作为校正电容可以对温度进行补偿,以提高频率准确度和稳定度。
由于电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ。
较高的反馈电阻有利于提高振荡频率的稳定性。
二、计数器
数字钟的“秒”、“分”信号产生电路都是由六十进制计数器构成,“时”信号产生电路为二十四进制计数器。
它们都可以用两个“可予制四位二进制异步清除”计数器来实现。
利用74HCT390芯片的预置数功能,也可以构成不同进制的计数器。
因为一片74HCT390内含有一个四位二进制异步清除计数器,因此需用两片74HCT390就可以构成六十进制或二十四进制计数器了。
集成电路74HCT390芯片的电路其中CP为时钟脉冲输入端.
三、译码显示电路
当数字钟的计数器在CP脉冲韵作用下,按60秒为1分、60分为1小时,‘24小时为1天的计数规律计数时,就应将其状态显示成清晰的数字符号。
这就需要将计数器的状态进行译码并将其显示出来。
我们选用的计数器全部是二-十进制集成片,“秒”、“分”、“时”的个位和十位的状态分别由集成片中的四个触发器的输出状态来反映的。
每组(四个).输出的计数状态都按 BCD代码以高低电平来表现。
因此,需经译码电路将计数器输出的BCD代码变成能驱动七段数码显示器的工作信号。
译码显示电路选用BCD-7段锁存译码/驱动器CC4511。
七段显示数码管的外部引线排列如图所示。
四、校时电路
当时钟指示不准时,就需要校准时间。
校准的方法很多,常用的有“快速校时法”。
简要说明它的校时原理,见图。
由与非门构成的双稳态触发器,可以将1Hz的“计数器的进位信号”
送至“计数器的CP端”。
其工作过程为:当接通校时开关时,与非门输出一个低电平和一个高电平。
“计数器进位信号”通过“校时CP端”送至“计数器的CP端”,使“计数器”在“秒”信号的控制下“快速”计数,直至正确的时间,以达到校准时间的目的。
3.2调试方法
本设计电路在数字电路实验箱上完成。
在进行整体电路连接之前,应对各部分的电路进行逐一安装和调试。
一、晶体振荡器的安装和调试、
按图连接电路,输出接发光二极管,观察发光二极管的显示情况。
二、计数器的安装和调试
1. 按图连接电路,输出可接发光二极管。
观察在CP作用下(CP为1Hz可直接由实验箱连续脉冲输出端提供)输出端发光二极管的状态变化情况,验证是否为六十进制计数器。
2. 按图连接电路,(方法同上)验证该电路是否为二十四进制计数器。
3. 调试过程中,要注意CR为异步复位端,高电平有效。
当CR为高电平时,计数器复位;正常计数时,应使CR=0。
三、译码显示电路的安装和调试
按图连接电路,在数字电路实验箱上连线。
它是由BCD-7段锁存译码/驱动器CC4511和LED 七段数码管组成。
观察在CP作用下数码管的显示情况。
需要注意的是,CC4511正常工作时,为高电平,LE应为低电平。
四、校时电路的安装和调试
按图连接电路,在数字电路实验箱上连线。
将电路输出端接发光二极管。
拨动开关,观察在CP(1Hz)作用下,输出端发光二极管的显示情况。
根据开关的不同状态,输出端输出频率之比约为1:60,“开关’’可以取自实验箱上的逻辑电平开关。
3.3设计图
第四章心得体会
通过这次课程设计,加强了我们动手、思考和解决问题的能力。
在整个设计过程中,我们通过这个方案包括设计了一套电路原理,和芯片上的选择。
在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。
我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。
平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。
而且还可以记住很多东西。
比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。
认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。
所以这个期末测试之后的课程设计对我们的作用是非常大的。
此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。
通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不/如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。
第五章参考书籍
【1】《电子技术基础》数字部分,华中科技大学康华光
【2】《电子技术基础》模拟部分,华中科技大学康华光。