计算机组成原理第四章习题哈工大

合集下载

计算机组成原理第四章单元测试(二)(含答案)

计算机组成原理第四章单元测试(二)(含答案)

计算机组成原理第四章单元测试(二)(含答案)第四章存储系统(二)测试1、32位处理器的最大虚拟地址空间为A、2GB、4GC、8GD、16G2、在虚存、内存之间进行地址变换时,功能部件()将地址从虚拟(逻辑)地址空间映射到物理地址空间A、TLBB、MMUC、CacheD、DMA3、在程序执行过程中,Cache与主存的地址映象是由A、用户编写程序完成B、操作系统完成C、编译系统完成D、硬件自动完成4、某计算机的存储系统由cache和主存组成。

某程序执行过程共访存2000次,其中访问cache缺失(未命中)100次,则该程序执行过程中Cache的命中率为A、80%B、85%C、90%D、95%5、在Cache的地址映射中,若主存中的任意一块均可映射到Cache内任意一行的位置上,则这种映射方法称为A、全相联映射B、直接映射C、2-路组相联映射D、混合映射6、采用虚拟存储器的主要目的是A、提高主存储器的存取速度B、扩大主存储器的存储空间,且能进行自动管理和调度C、提高外存储器的存取速度D、扩大外存储器的存储空间7、虚拟存储器中,程序执行过程中实现虚拟地址到物理地址映射部件(系统)是A、应用程序完成B、操作系统和MMU配合完成C、编译器完成D、MMU完成8、相联存储器是按( )进行寻址访问的存储器A、地址B、内容C、堆栈D、队列9、以下哪种情况能更好地发挥Cache的作用A、程序中存在较多的函数调用B、程序的大小不超过内存容量C、程序具有较好的时间和空间局部性D、递归子程序10、以下关于虚拟存储管理地址转换的叙述中错误的是()A、地址转换是指把逻辑地址转换为物理地址B、一般来说,逻辑地址比物理地址的位数少C、地址转换过程中可能会发生“缺页”D、MMU在地址转换过程中要访问页表项11、假定主存按字节编址,cache共有64行,采用4路组相联映射方式,主存块大小为32字节,所有编号都从0开始。

问主存第3000号单元所在主存块对应的cache组号是A、1B、5C、13D、2912、下列关于MMU的叙述中,错误的是()A、MMU是存储管理部件B、MMU负责主存地址到Cache地址的映射C、MMU参与虚拟地址到物理地址的转换D、MMU配合使用TLB 地址转换速度更快13、下列关于主存与cache地址映射方式的叙述中正确的是()A、全相联映射方式比较适用于大容量CacheB、直接映射是一对一的映射关系,组相联映射是多对一的映射关系C、在Cache容量相等条件下,直接映射方式的命中率比组相联方式有更高的命中率D、在Cache容量相等条件下,组相联方式的命中率比直接映射方式有更高的命中率14、下列关于CaChe的说法中,错误的是()A、CaChe对程序员透明B、CaChe行大小与主存块大小一致C、分离CaChe(也称哈佛结构)是指存放指令的CaChe与存放数据CaChe分开设置D、读操作也要考虑CaChe与主存的一致性问题15、下列关于CaChe的论述中,正确的是A、采用直接映射时,CaChe无需使用替换算法B、采用最优替换算法,CaChe的命中率可达到100%C、加快CaChe本身速度,比提高CaChe命中率更能提升存储系统的等效访问速度D、CaChe的容量与主存的容量差距越大越能提升存储系统的等效访问速度16、某计算机系统中,CaChe容量为512 KB,主存容量为256 MB,则CaChe 一主存层次的等效容量为A、512 KBB、256 MBC、256 MB+512 KBD、256 MB - 512 KB17、下列关于Cache的描述中正确的是( )A、Cache存储器是内存中的一个特定区域B、Cache存储器的存取速度介于内存和磁盘之间C、Cache存储器中存放的内容是内存的副本D、Cache中存放正在处理的部分指令和数据18、关于TLB和Cache,下面哪些说法中正确的是( )A、TLB和Cache中存的数据不同B、TLB 访问缺失(miss)后,可能在Cache中直接找到页表内容C、TLB miss会造成程序执行出错,但是Cache miss不会D、TLB和Cache都采用虚拟地址访问19、在下列因素中,与Cache的命中率有关的是( )A、Cache块大小B、Cache的总容量C、主存的存取时间D、替换算法20、下面有关Cache的说法中正确的是( )A、设置Cache的目的,是解决CPU和主存之间的速度匹配问题B、设置Cache的理论基础,是程序访问的局部性原理C、Cache与主存统一编址,Cache地址空间是主存的一部分D、Cache功能均由硬件实现,对程序员透明21、下列关于存储系统的描述中正确的是( )A、每个程序的虚地址空间可以远大于实地址空间,也可以远小于实地址空间B、多级存储体系由cache、主存和虚拟存储器构成C、Cache和虚拟存储器这两种存储器管理策略都利用了程序的局部性原理D、当Cache未命中时,CPU以直接访问主存,而外存与CPU之间则没有直接通路22、下列关于TLB、cache和虚存页(Page)命中组合情况中,一次访存过程中可能发生的是( )A、TLB命中、cache命中、Page命中B、TLB未命中、cache命中、Page命中C、TLB未命中、cache未命中、Page命中D、TLB未命中、cache命中、Page未命中23、下列RAID组中需要的最小硬盘数为3个的是()A、RAID 1B、RAID 3C、RAID 5D、RAID 1024、下列RAID技术中采用奇偶校验方式来提供数据保护的是()A、RAID 1B、RAID 3C、RAID 5D、RAID 1025、在请求分页存储管理方案中,若某用户空间为16个页面,页长1 K B,虚页号0、1、2、3、4对应的物理页号分别为1、5、3、7、2。

计算机组成原理第四章课下练习题

计算机组成原理第四章课下练习题

计算机组成原理第四章课下练习题CH4 存储系统一.判断题1.计算机的主存是由RAM和ROM两种半导体存储器组成的。

( )2.CPU可以直接访问主存,而不能直接访问辅存。

( )3.辅存比主存的存储容量大、存取速度快。

( )4.动态RAM和静态RAM都是易失性半导体存储器。

( )5.Cache的功能全部由硬件实现。

( )6.引入虚拟存储器的目的是为了加快辅存的存取速度。

( )7.多体交叉存储器主要是为了解决扩充存储容量的问题。

( )8.Cache和虚拟存储器的存储管理策略都利用了程序的局部性原理。

( )9.多级存储体系由Cache、主存和辅存构成。

( )10.在虚拟存储器中,当程序正在执行时,由编译器完成地址映射。

( )二.选择题1.主(内)存用来存放( )。

A.程序 B.数据 C.微程序 D.程序和数据2.下列存储器中,速度最慢的是( )。

A.半导体存储器 B.光盘存储器 C.磁带存储器 D.硬盘存储器3.某一SRAM芯片,容量为16K×1位,则其地址线有( )。

A.14根 B.16K根 C.16根 D.32根4.下列部件(设备)中,存取速度最快的是( )。

A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器5.在主存和CPU之间增加Cache的目的是( )。

A.扩大主存的容量B.增加CPU中通用寄存器的数量C.解决CPU和主存之间的速度匹配D.代替CPU中的寄存器工作6.计算机的存储器采用分级存储体系的目的是。

A.便于读写数据 B.减小机箱的体积C.便于系统升级 D.解决存储容量、价格与存取速度间的矛盾7.相联存储器是按进行寻址的存储器。

A.地址指定方式 B.堆栈存取方式C.内容指定方式 D.地址指定与堆栈存取方式结合8.某SRAM芯片,其容量为1K×8位,加上电源端和接地端后,该芯片的引出线的数目最少应为。

A.23 B.25 C.22 D.209.常用的虚拟存储器由( )两级存储器组成,其中辅存是大容量的磁表面存储器。

计算机组成原理第4章习题参考答案

计算机组成原理第4章习题参考答案

计算机组成原理第4章习题参考答案第4章数值的机器运算4-2.某加法器采⽤组内并⾏,组间并⾏的进位链,4位⼀组,写出进位信号C6逻辑表达式。

[解] 组间并⾏的进位链,产⽣的最低⼀组的进位输出为:C4=G1*+P1*C0 (其中:G1*=G4+P4G3+P4P3G2+P4P3P2G1;P1*=P4P3P2P1)然后在组内产⽣进位信号C6:C6=G6+P6C5=G6+P6G5+P6P5C44-4.已知X和Y,使⽤它们的变形补码计算出X+Y,并指出结果是否溢出。

(1)X=0.11011,Y=0.11111(2)X=0.11011,Y=-0.10101(3)X=-0.10110,Y=-0.00001(4)X=-0.11011,Y=0.11110[解](1)[X]补=0.11011,[Y]补=0.1111100.11011 [X]补+00.11111 [Y]补01.11010 [X+Y]补结果正溢出!(2)[X]补=0.11011,[Y]补=1.0101100.11011 [X]补+11.01011 [Y]补00.00110 [X+Y]补结果不溢出!X+Y=0.00110(3)[X]补=1.01010,[Y]补=1.1111111.01010 [X]补+11.11111 [Y]补11.01001 [X+Y]补结果不溢出!X+Y=-0.10111(4)[X]补=1.00101,[Y]补=0.1111011.00101 [X]补+00.11110 [Y]补00.00011 [X+Y]补结果不溢出!X+Y=0.000114-5.已知X和Y,使⽤它们的变形补码计算出X-Y,并指出结果是否溢出。

(1)X=0.11011,Y=-0.11111(2)X=0.10111,Y=0.11011(3)X=0.11011,Y=-0.10011(4)X=-0.10110,Y=-0.00001[解](1)[X]补=0.11011,[Y]补=1.00001, [-Y]补=0.1111100.11011 [X]补+00.11111 [-Y]补01.11010 [X-Y]补结果正溢出!(2)[X]补=0.10111,[Y]补=0.11011, [-Y]补=1.0010100.10111 [X]补+11.00101 [-Y]补11.11100 [X-Y]补结果不溢出!X-Y=11.11100(3)[X]补=0.11011,[Y]补=1.01101, [-Y]补=0.1001100.11011 [X]补+00.10011 [-Y]补01.01110 [X-Y]补结果正溢出!(4)[X]补=1.01010,[Y]补=1.11111,[-Y]补=0.0000111.01010 [X]补+00.00001 [-Y]补11.01011 [X-Y]补结果不溢出!X-Y=-0.101014-6.已知:X=0.1011,Y=-0.0101求:[X/2]补 ,[X/4]补 ,[-X]补 ,[Y/2]补 ,[Y/4]补 ,[-Y]补,[2Y]补[解] 由[X]补=0.1011,[Y]补=1.1011 得:[X/2]补=0.0101,[X/4]补=0.0010,[-X]补=1.0101[Y/2]补=1.1101,[Y/4]补=1.1110,[-Y]补=0.0101,[2Y]补=1.01104-7. 设下列数据长8位,包括⼀位符号位,采⽤补码表⽰,分别写出每个数右移或左移两位之后的结果(1)0.1100100 (2) 1.0011001 (3) 1.1100110 (4)1.0000111[解] (1)右移两位后为 0.0011001 左移两位后为1.0010000(溢出)或0.0010000 (2)右移两位后为 1.1100110 左移两位后为0.1100100(溢出)或1.1100100(3)右移两位后为1.1111001 左移两位后为 1.0011000(4)右移两位后为 1.11000001 左移两位后为0.0011100(溢出)或1.00111004-8.分别⽤原码乘法和补码乘法计算X×Y.(1) X=0.11011,Y=-0.11111(2) X=-0.11010,Y=-0.01110[解]原码乘法:(1)|X|=0.11011 → B, |Y|=0.11111 →C,0→A5=1,+ |X|00.1101100.01101 1 0 1 1 1 1 部分积右移1位5=1,+ |X|01.0100000.10100 0 1 0 1 1 1 部分积右移1位5=1,+ |X|01.0111100.10111 1 0 1 0 1 1 部分积右移1位5=1,+ |X|01.1001000.11001 0 1 0 1 0 1 部分积右移1位5=1,+ |X|01.1010000.11010 0 0 1 0 1 0 部分积右移1位所以, |X×Y|=0.1101000101X×Y=-0.1101000101(2) |X|=0.11010 → B, |Y|=0.01110 → C, 0→A 5=0,+ 000.0000000.00000 0 0 0 1 1 1 部分积右移1位5=1,+ |X|00.1101000.01101 0 0 0 0 1 1 部分积右移1位5=1,+ |X|01.0011100.10011 1 0 0 0 0 1 部分积右移1位5=1,+ |X|01.0110100.10110 1 1 0 0 0 0 部分积右移1位5=0,+ 000.1011000.01011 0 1 1 0 0 0 部分积右移1位所以, |X×Y|=0.010*******X×Y=+0.010*******补码乘法:(1)[X]补=0.11011 → B, [Y]补=1.00001 → C, 0 → A [-X]补说明 + [-X]补5C 6=10,+ [-X]补11.0010111.10010 1 1 0 0 0 0 1 部分积右移1位+ [X]补5C 6=01,+ [X]补00.0110100.00110 1 1 1 0 0 0 0 部分积右移1位5C 6=00,+ 000.0011000.00011 0 1 1 1 0 0 0 部分积右移1位5C 6=00,+ 000.0001100.00001 1 0 1 1 1 0 0 部分积右移1位5C 6=00,+ 000.0000100.00000 1 1 0 1 1 1 0 部分积右移1位+ [-X]补 5C 6=10,+ [-X]补所以, [X×Y]补=1.0010111011X×Y =-0.1101000101(2)[X]补=1.00110 → B, [Y]补=1.10010 → C, 0→A [-X]补说明5C 6=00,+ 01位+ [-X]补 00.11010 C 5C 6=10,+ [-X]补00.1101000.01101 0 0 1 1 0 0 1 部分积右移1位+ [X]补 11.00110 C 5C 6=01,+ [X]补11.1001111.11001 1 0 0 1 1 0 0 部分积右移1位+ 0 00.00000 C 5C 6=00,+ 011.1100111.11100 1 1 0 0 1 1 0 部分积右移1位+ [-X]补 00.11010 C 5C 6=10,+[-X]补00.1011000.01011 0 1 1 0 0 1 1 部分积右移1位+ 0 5C 6=11,+ 000.01011所以, [X×Y]补=0.010*******X×Y = 0.010*******4-10.分别⽤原码和补码加减交替法计算X/Y 。

哈工大计算机组成大作业完整版

哈工大计算机组成大作业完整版

哈工大计算机组成大作业哈工大计算机组成原理自主实验计算机组成原理自主实验报告第四章‐实验1一个2114 存储芯片的实现要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。

A0-A9:地址线I/O:数据输入输出线CS:片选信号R/W:读写信号VHDL代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity shiyan41 isPORT(clk, we, cs,reset: in STD_LOGIC;data: inout STD_LOGIC_VECTOR(3 downto 0);adr: in STD_LOGIC_VECTOR(9 downto 0));end shiyan41;architecture Behavioral of shiyan41 istypemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0);signaldata_out: STD_LOGIC_VECTOR(3 downto 0);signalsram : mem;signalcs_s : std_logic;signalwe_s : std_logic;signaladdr_in_row: std_logic_vector(5 downto 0);signaladdr_in_col: std_logic_vector(3 downto 0);begincs_swe_saddr_in_rowaddr_in_colprocess(clk)beginifclk'event and clk='1' thenif(cs_s='0' and we_s='0') thensram(conv_integer(addr_in_row))(conv_integer(addr_in_col)) sram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 16) end if;end process;process(clk,reset)beginif reset = '1' thendata_out '0');elsifclk'event and clk='1' thenifcs='0' and we='1' thendata_outsram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 48) &sram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 32) &sram(conv_integer(addr_in_row))(conv_integer(addr_in_col) 16) &sram(conv_integer(addr_in_row))(conv_integer(addr_in_col)); end if;end if;end process;data_indata 'Z');end Behavioral;仿真结果:写操作:读操作:仿真分析:在进行写操作时,片选信号低电平有效,we为0,在地址000001000存入0001;在进行读操作时,片选信号低电平有效,we为1,读出地址000001000中存入的数0001.实际框图:第五章‐实验(5%)简单程序中断方式接口电路的实现要求:按照P198,图5.41 实现一个简单的程序中断方式接口电路。

《计算机组成原理》第四章总线与时序练习题及答案

《计算机组成原理》第四章总线与时序练习题及答案

《计算机组成原理》第四章总线与时序练习题及答案选择题目:1. 当M/IO 0=,RD 0=,WR=1时,CPU 完成的操作是( c )。

A. 存储器读操作B. 存储器写操作C. IO 端口读操作D. IO 端口写操作2. 8086CPU 的时钟频率为5MHz ,它的典型总线周期为( c )A. 200nsB. 400nsC. 800nsD. 1600ns3. 某微机最大可寻址的内存空间为16MB ,则其系统地址总线至少应有( D)条。

A. 32B. 16C. 20D. 244. 8086的系统总线中,地址总线和数据总线分别为( B )位。

A. 16,16B. 20,16C. 16,8D. 20,205. 8086CPU 一个总线周期可以读(或写)的字节数为( B )A. 1个B. 2个C. 1个或2个D. 4个8086有16条数据总路线,一次可以传送16位二进制,即两个字节的数6. 当8086CPU 采样到READY 引脚为低电平时,CPU 将( B )A. 执行停机指令B. 插入等待周期C. 执行空操作D. 重新发送地址7. 当8086CPU读写内存的一个对准存放的字时,BHE和A0的状态为( A )。

A. 00B. 01C. 10D. 118. 当8086CPU采样到READY引脚为低电平时,CPU将( B )A. 执行停机指令B. 插入等待周期C. 执行空操作D. 重新发送地址9. 8086CPU的字数据可以存放在偶地址,也可以存放在奇地址。

下列说法正确的是( A )A. 堆栈指针最好指向偶地址B. 堆栈指针最好指向奇地址C. 堆栈指针只能指向偶地址D. 堆栈指针只能指向奇地址10. 8086CPU在进行对外设输出操作时,控制信号M/IO和DT/R状态必须是(D )A. 0,0B. 0,1C. 1,0D. 1,111. 8086CPU复位时,各内部寄存器复位成初值。

复位后重新启动时,计算机将从内存的( c )处开始执行指令。

计算机组成原理第四章课下练习题答案

计算机组成原理第四章课下练习题答案

CH4 作业答案一、判断题1.√2. √3.×4. √5. √6. ×7. ×8. √9. √10. ×二、选择题1.D2.A3.A4.B5.C6.D7.C8.C9.A10.A 11.D 12.B 13.A 14.C 15.D 16.C 17.D 18.D19.A 20.D 21.C三、填空题1.答:程序和数据;存储位置2.答:无关;随机访问3.答:存储体;读写电路4.答:随机存储器( R A M )5.答 : 行选通列选通6.答 : 647.答 : 双稳态电路刷新 ( 或恢复 )8.答 : 两行选通列选通9.答 :刷新10.答 : 地址线控制11.答 : 地址片选12.答 : 8 413.答 : 20 1614.答 : 可多次擦写紫外线照射15.答 : 一次性写入的可编程芯片16.答 : 容量小速度快17.答 : 快速度18.答 : 虚拟存储器19.答 : 主存-辅存主存容量不足20.答 : 虚拟地址( 逻辑地址) 实际地址( 物理地址)21.答 : Ca c h e 的访问命中率22.答 : 一快块冲突23.答 : 8 1324.答 : 1024 6425.答 : 寄存器 Cache 主存辅存26.答 : 掩膜 R O M27.答:3FFFFH28.答 : 存储容量;存取周期29.答:ROM RAM 顺序存储器直接存储器30.答:地址寄存器地址译码器31.答:1024 6432.答:程序访问内存的局限性33.答:静态RAM 动态RAM34.答 : 19 1635.答 : 全相联直接36.答 : 存储介质37.答 : 半导体存储器、磁存储器光存储器38.答 : 非易失性存储器易失性存储器39.答 : 普通 R O M 可编程 R O M 可擦写可编程 R O M 电可擦可编程 R O M40.答 : c ach e 主存磁盘光盘41.答 : 6442.答 : 主存辅存逻辑物理地址映射43.答 : 掩膜 R O M44.答 : 1024 1024 845.答 : 存储体地址寄存器读写电路46.答 : 读/ 写时间47.答 : 电容是否充电定时刷新48.答:静态RAM。

计算机组成原理习题 第四章

计算机组成原理习题 第四章

第四章一.填空题1.根据操作数所在位置,指出其寻址方式:操作数在寄存器中,称为寻址方式;操作数地址在寄存器中,称为寻址方式;操作数在指令中,称为寻址方式;操作数地址在指令中,为寻址方式。

操作数的地址,为某一个寄存器中的内容与位移之和,则可以是、和寻址方式。

2.设字长和指令长度均为24位,若指令系统可完成108种操作,且具有直接、间接(一次间址)、变址、基址、相对、立即等6种寻址方式,则在保证最大范围内直接寻址的前提下,指令字中操作码占位,寻址特征位占位,可直接寻址的范围是,一次间址的范围是。

3.指令字中的地址码字段(形式地址)有不同的含意,它是通过体现的,因为通过某种方式的变换,可以得出地址。

常用的指令地址格式有、、和四种。

4.立即寻址的指令其指令的地址字段指出的不是,而是。

5.寄存器直接寻址操作数在中,寄存器间接寻址操作数在中,所以执行指令的速度前者比后者。

6.设形式地址为X,则直接寻址方式中,操作数的有效地址为;间接寻址方式中,操作数的有效地址为;相对寻址中,操作数的有效地址为。

7.变址寻址和基址寻址的区别是:基址寻址中基址寄存器提供,指令的地址码字段提供。

而变址寻址中变址寄存器提供,指令的地址码字段提供。

8.指令寻址的基本方式有两种,一种是寻址方式,其指令地址由给出,另一种是寻址方式,其指令地址由给出。

9.不同机器的指令系统各不相同,一个较完善的指令系统应该包括、、、、、等类指令。

10.常见的数据传送类指令的功能可实现和之间或和之间的数据传送。

11.设指令字长等于存储字长,均为24位,某指令系统可完成108种操作,操作码擦汗高难度固定,且具有直接、间接(一次间址)、变址、基址、相对、立即等寻址方式,则在保证最大范围内直接寻址的前提下,指令字中操作码占位,寻址特征占位,可直接寻址的范围是,一次间址的范围是。

12.设计器指令系统可完成98种操作,指令字长为16位,操作码长度固定。

若该指令系统具有直接、间接、变址、基址、相对、立即等六种寻址方式,则在保证最大范围内直接寻址的前提下,其指令代码中操作码占位,寻址特征占位,形式地址码占位,一次间址的范围是。

《计算机组成原理》各章练习参考答案.

《计算机组成原理》各章练习参考答案.

《计算机组成原理》各章练习题参考答案第一章思考练习题一.填空1.电子数字计算机可以分为专用计算机和通用计算机两类。

2.硬件采用LSI或VLSI的电子计算机属于计算机发展过程中的第四代。

3.存储器中存放数据和程序。

4.一台计算机包括运算、存储、控制、输入及输出五个单元。

5.完成算术运算和逻辑运算的部件是运算器(或ALU);运算器的核心是加法器;控制、指挥程序和数据的输入、运行以及处理运算结果的部件是控制器。

6.CPU内部连接各寄存器及运算部件之间的总线是内部总线;CPU同存储器、通道等互相连接的总线是系统总线;中、低速I/O设备之间互相连接的总线是I/O总线。

7.在多总路线结构中,CPU总线、系统总线和高速总线相连通过桥实现。

8.计算机软件一般分为系统软件和应用软件。

9.完整的计算机系统由硬件系统和软件系统构成。

10.机器字长是指一台计算机一次所能够处理的二进制位数量。

11.数据分类、统计、分析属于计算机在数据处理方面的应用。

12.计算机是一种信息处理机,它最能准确地反映计算机的主要功能。

13.个人台式商用机属于微型机。

14.对计算机软硬件进行管理,是操作系统的功能。

15.用于科学技术的计算机中,标志系统性能的主要参数是MFLOPS。

16.通用计算机又可以分为超级机、大型机、服务器、工作站、微型机和单片机六类。

17.“存储程序控制”原理是冯.诺依曼提出的。

18.运算器和控制器构成CPU,CPU和主存构成主机。

19.取指令所用的时间叫取指周期,执行指令所用的时间叫执行周期。

20.每个存储单元都有一个编号,该编号称为地址。

21.现代计算机存储系统一般由高速缓存、主存和辅存构成。

22.计算机能够自动完成运算或处理过程的基础是存储程序和程序控制原理。

二.单选1.存储器用来存放( C )。

A.数据B.程序C.数据和程序D.正在被执行的数据和程序2.下面的描述中,正确的是( B )A.控制器能够理解、解释并执行所有的指令及存储结果。

哈工大威海计算机学院 计算机组成原理课件第4章 总线

哈工大威海计算机学院  计算机组成原理课件第4章 总线

特点:按位串行传送; 按应答方式进行联系。 这种方式要求数据格式中设置同步信息。 异步串行数据格式如下:
0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 起始位 (低) 数据位
奇偶 停止位 校验位 (高)
异步串行通信的数据传输率可以用波特率和比特率来衡量 波特率—单位时间内传送二进制数据的位数,单位:bps 比特率—单位时间内传送二进制有效数据的位数,单位:bps 例:在异步串行传输系统中,若字符格式为:1个起始位、7个 数据位、1个奇校验位、1个终止位。假设每秒传输120个数据 帧,试计算波特率及比特率。 解:由题意知,一帧包括 1+7+1+1=10位 所以波特率为(1+7+1+1)×120=1200bps
采用存储器为核心的分散连接结构,虽采用中断、 DMA等技术,仍无法解决I/0设备与主机之间连接的 灵活性。 目前:总线连接
二.总线及其技术特点
1、总线:是计算机系统中各部件之间的公共的 信息传递通道。
2、技术特点
1)使系统中的连线大大减少,可靠性高 2)便于硬件和软件的标准化,便于接口设计 3)易于系统模块化,可替换性好 4)便于维修,即可维护性好 5)任意时刻只有一个源发送(主设备),可由多 个部件接收(从设备) 6)有仲裁机制 7)缺点:传输率受带宽限制,且总线一旦故障, 整个系统将瘫痪
离来安排公共时钟周期时间)。 特点: 控制简单; 灵活性差;
当系统中各部件速度差异较大时,严重影响总线
工作效率;
适合于短距离、各部件速度较接近的场合。
2)异步通信:
异步通信是和同步通信完全对立的通信方式,通信双 方无统一的时钟标准来控制数据的传送过程,各部件可按 各自所需的实际时间使用总线。 时间配合:主/从部件间采用应答(握手)方式建立

计算机组成与设计第三版 第四章课后答案

计算机组成与设计第三版 第四章课后答案

4.13.假定X=0.0110011*211,Y=0.1101101*2-10(此处的数均为二进制)。 (1)浮点数阶码用4位移码、尾数用8位原码表示(含符号位),写出 该浮点数能表示的绝对值最大、最小的(正数和负数)数值; (2)写出X、Y正确的浮点数表示(注意,此处预设了个小陷阱); (3)计算X+Y; (4)计算X*Y。 4)计算X*Y A:阶码相加:[X+Y]移=[X]移+[Y]补=01 011+11 110=01 001 (符号位10第1位为0,不溢出;00时上溢,01时下溢) B:尾数相乘结果:0 1010110 110111 C:已满足规格化要求,不需左规,尾数不变,阶码仍为001 D:舍入处理:按0舍1入规则,尾数之后的6位110111舍去,尾数 +1=0 1010111 所以,X*Y最终浮点数格式的结果: 1 001 0 1010111,即 0.1010111*21
4.13.假定X=0.0110011*211,Y=0.1101101*2-10(此处的数均为二进制)。 (1)浮点数阶码用4位移码、尾数用8位原码表示(含符号位),写出 该浮点数能表示的绝对值最大、最小的(正数和负数)数值; (2)写出X、Y正确的浮点数表示(注意,此处预设了个小陷阱); (3)计算X+Y; (4)计算X*Y。
计算机组成原理第四章习题
4.1解释定点运算器的功能和组成部件?以Am2901芯片为 实例,来介绍定点运算器的有关知识,你的认知程度如何? 答:定点运算器的功能与组成 ⑴ 对数据进行算术运算和逻辑运算,给出结果某些特征; ⑵ 暂存参加运算的数据和中间结果; ⑶可用硬件完成乘除运算; ⑷ 接受外部数据的输入,送出运算结果; ⑸与计算机其他部件协同工作运算器的组成:①算术与 逻辑运算部件;②通用寄存器;③乘商寄存器;④多路 选择器;⑤输入/输出逻辑电路。

计算机组成原理习题答案第四章.

计算机组成原理习题答案第四章.

1.指令长度和机器字长有什么关系?半字长指令、单字长指令、双字长指令分别表示什么意思?解:指令长度与机器字长没有固定的关系,指令长度可以等于机器字长,也可以大于或小于机器字长。

通常,把指令长度等于机器字长的指令称为单字长指令;指令长度等于半个机器字长的指令称为半字长指令;指令长度等于两个机器字长的指令称为双字长指令。

2.零地址指令的操作数来自哪里?一地址指令中,另一个操作数的地址通常可采用什么寻址方式获得?各举一例说明。

解:双操作数的零地址指令的操作数来自堆栈的栈顶和次栈顶。

双操作数的一地址指令的另一个操作数通常可采用隐含寻址方式获得,即将另一操作数预先存放在累加器中。

例如,前述零地址和一地址的加法指令。

3.某机为定长指令字结构,指令长度16位;每个操作数的地址码长6位,指令分为无操作数、单操作数和双操作数三类。

若双操作数指令已有K 种,无操作数指令已有L种,问单操作数指令最多可能有多少种?上述三类指令各自允许的最大指令条数是多少?解:X =(24-K×26-jL26k双操作数指令的最大指令数:24-1。

单操作数指令的最大指令数:15×2 6-1(假设双操作数指令仅1条,为无操作数指令留出1个扩展窗口。

无操作数指令的最大指令数:216-212-26。

其中212为表示某条二地址指令占用的编码数,26为表示某条单地址指令占用的编码数。

此时双操作数和单操作数指令各仅有1条。

4.设某机为定长指令字结构,指令长度12位,每个地址码占3位,试提出一种分配方案,使该指令系统包含:4条三地址指令,8条二地址指令,180条单地址指令。

解:4条三地址指令000XXX YYY ZZZ…011XXX YYY ZZZ8条二地址指令100000XXX YYY…100111XXX YYY180条单地址指令…5.指令格式同上题,能否构成:三地址指令4条,单地址指令255条,零地址指令64条?为什么?解:三地址指令4条000XXX YYY ZZZ…011XXX YYY ZZZ单地址指令255条…只能再扩展出零地址指令8条,所以不能构成这样的指令系统。

哈工大计算机组成原理试卷1及答案

哈工大计算机组成原理试卷1及答案

哈工大学年秋季学期计算机组成原理试题一、填空(12分)1.某浮点数基值为2,阶符1位,阶码3位,数符1位,尾数7位,阶码和尾数均用补码表示,尾数采用规格化形式,用十进制数写出它所能表示的最大正数,非0最小正数,最大负数,最小负数。

2.变址寻址和基址寻址的区别是:在基址寻址中,基址寄存器提供,指令提供;而在变址寻址中,变址寄存器提供,指令提供。

3.影响流水线性能的因素主要反映在和两个方面。

4.设机器数字长为16位(含1位符号位)。

若1次移位需10ns,一次加法需10ns,则补码除法需时间,补码BOOTH算法最多需要时间。

5.CPU从主存取出一条指令并执行该指令的时间叫,它通常包含若干个,而后者又包含若干个。

组成多级时序系统。

二、名词解释(8分)1.微程序控制2.存储器带宽3.RISC4.中断隐指令及功能三、简答(18分)1. 完整的总线传输周期包括哪几个阶段?简要叙述每个阶段的工作。

2. 设主存容量为1MB,Cache容量为16KB,每字块有16个字,每字32位。

(1)若Cache采用直接相联映像,求出主存地址字段中各段的位数。

(2)若Cache采用四路组相联映像,求出主存地址字段中各段的位数。

3. 某机有五个中断源,按中断响应的优先顺序由高到低为L0,L1,L2,L3,L4,现要求优先顺序改为L3,L2,L4,L0,L1,写出各中断源的屏蔽字。

4. 某机主存容量为4M×16位,且存储字长等于指令字长,若该机的指令系统具备120种操作。

操作码位数固定,且具有直接、间接、立即、相对四种寻址方式。

(1)画出一地址指令格式并指出各字段的作用;(2)该指令直接寻址的最大范围;(3)一次间址的寻址范围;四、(6分)设阶码取3位,尾数取6位(均不包括符号位),按浮点补码运算规则计算 [25169⨯] + [24)1611(-⨯]五、画出DMA 方式接口电路的基本组成框图,并说明其工作过程(以输入设备为例)。

计算机组成原理第四章答案

计算机组成原理第四章答案

计算机组成原理第四章答案第4章习题参考答案1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么?答:不合理。

指令最好半字长或单字长,设16位⽐较合适。

⼀个字符的ASCII 是7位,如果设计主存单元字长为32位,则⼀个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间⽽已,不过,⼀条指令⾄少占⼀个单元,但只占⼀个单元的12位,⽽另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很⼤了。

2.假设某计算机指令长度为32位,具有双操作数、单操作数、⽆操作数三类指令形式,指令系统共有70条指令,请设计满⾜要求的指令格式。

答:字长32位,指令系统共有70条指令,所以其操作码⾄少需要7位。

双操作数指令单操作数指令⽆操作数指令3.指令格式结构如下所⽰,试分析指令格式及寻址⽅式特点。

答:该指令格式及寻址⽅式特点如下:(1) 单字长⼆地址指令。

(2) 操作码字段OP可以指定26=64种操作。

(3) 源和⽬标都是通⽤寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。

(4) 这种指令结构常⽤于RR之间的数据传送及算术逻辑运算类指令。

4.指令格式结构如下所⽰,试分析指令格式及寻址⽅式特点。

15 10 9 8 7 4 3 0答:该指令格式及寻址⽅式特点如下:(1)双字长⼆地址指令,⽤于访问存储器。

(2)操作码字段OP可以指定26=64种操作。

(3)RS型指令,⼀个操作数在通⽤寄存器(选择16个之⼀),另⼀个操作数在主存中。

有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之⼀)内容加上位移量。

5.指令格式结构如下所⽰,试分析指令格式及寻址⽅式特点。

答:该指令格式及寻址⽅式特点如下:(1)该指令为单字长双操作数指令,源操作数和⽬的操作数均由寻址⽅式和寄存器构成,寄存器均有8个,寻址⽅式均有8种。

根据寻址⽅式的不同,指令可以是RR型、RS型、也可以是SS型;(2)因为OP为4位,所以最多可以有16种操作。

计算机组成原理第四章习题哈工大

计算机组成原理第四章习题哈工大

计算机组成原理第四章习题哈工大1.EPROM是指______。

A.读写存储器B.只读存储器C.可编程的只读存储器D.可擦除可编程的只读存储器2.在主存和CPU之间增加cache存储器的目的是______。

A.增加内存容量B.提高内存可靠性C.解决CPU和主存之间的速度匹配问题D.增加内存容量,同时加快存取速度3.某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。

A.SRAMB.闪速存储器C.cacheD.辅助存储器4.CD-ROM是______型光盘。

A.一次B.重写C.只读5.外存储器与内存储器相比,外存储器______。

A.速度快,容量大,成本高B.速度慢,容量大,成本低C.速度快,容量小,成本高D.速度慢,容量大,成本高6.常用的虚拟存储系统由______两级存储器组成。

A.主存—辅存B.快存—主存C.快存—辅存D.通用寄存器—主存7.在虚拟存储器中,当程序正在执行时,由______完成地址映射。

A.程序员B.编译器C.装入程序D.硬件和操作系统8.采用虚拟存储器的目的是______。

A.提高主存储器的存取速度B.扩大主存储器的存储空间C.提高外存储器的存取速度D.扩大外存储器的存储空间9.程序员编程所用的地址叫做______。

A.逻辑地址B.物理地址C.真实地址10.CRT的分辨率为1024ⅹ1024像素,像素的颜色数为256,则刷新存储器的容量是______。

A.256KBB.512KBC.1MBD.8MB11.某机字长32位,存储容量1MB,按字节编址。

若按字寻址,它的寻址范围是______。

A.1MBB.512KBC.256KD.256KB12.某计算机字长32位,其存储容量为4MB,按字节编址。

若按半字寻址,它的寻址范围是______。

A.4MBB.2MBC.2MD.1M13.某计算机字长32位,存储容量是8MB,按字节编址。

若按双字寻址,那么它的寻址范围是______。

计算机组成原理—习题解答(第四章)

计算机组成原理—习题解答(第四章)

第四章题解计算机组成原理习题解答第四章4.2❒4.2在存储系统的层次结构中,设计高速缓冲存储器和虚拟存储器的目的各是什么?对这两个存储层次的管理有何异同点?❒题解:1、设计cache的目的是为了提高存储器的访问速度。

Cache层使得CPU在对存储器进行访问时,速度可以接近Cache的速度,容量可以达到主存的容量。

设计虚存的目的是为了提高存储器的容量。

虚拟存储技术使得用户在使用存储器时,感觉可用容量接近于辅存的容量,而访问速度上接近于主存。

综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。

2、两个存储层次管理的异同点:两个层次的功能均由系统自动实现,对用户来讲都是透明的。

第四章4.2两个存储层次均以信息块作为基本信息的传送单位,Cache存储器每次传送的信息块是定长的,只有几十字节,而虚拟存储器信息块划分方案很多,有页、段等等,长度均在几百~几百K 字节左右。

主存Cache 存储体系中CPU与Cache和主存都建立了直接访问的通道。

一旦不命中时,CPU 就直接访问主存并同时向Cache调度信息块。

而辅助存储器与CPU之间没有直接通路,一旦在主存不命中时,只能从辅存调块到主存。

Cache 存储器存取信息的过程、地址变换和替换策略全部用硬件实现,对程序员均是透明的。

而主存-辅存层次的虚拟存储器基本上是由操作系统的存储管理软件并辅助一些硬件来进行信息块的划分和主存-辅存之间的调度,所以对设计存储管理软件的系统程序员来说,它是不透明的,而对应用程序员,因为虚拟存储路提供了庞大的逻辑空间可以任意使用,是透明的。

第四章4.4❒4.4 图4-3中,如果检索寄存器的值为“**** 1011 **** ****”,屏蔽寄存器的值是什么?检索完成后,匹配寄存器中的值又是什么?❒题解:❒屏蔽寄存器的值是:0000 1111 0000 0000;完成检索后匹配寄存器的值为:01000…第四章4.74.7 将数据Cache和指令Cache分开有什么好处?答:将数据Cache和指令Cache分开有如下好处:1)可支持超前控制和流水线控制,有利于这类控制方式下指令预取操作的完成;2)指令Cache可用ROM实现,以提高指令存取的可靠性;3)数据Cache对不同数据类型的支持更为灵活,既可支持整数(例32位),也可支持浮点数据(如64位)。

计算机组成原理与体系结构智慧树知到课后章节答案2023年下黑龙江工程学院

计算机组成原理与体系结构智慧树知到课后章节答案2023年下黑龙江工程学院

计算机组成原理与体系结构智慧树知到课后章节答案2023年下黑龙江工程学院第一章测试1.运算器的核心部件是______。

A:算术逻辑运算部件 B:数据选择器 C:累加寄存器 D:数据总线答案:算术逻辑运算部件2.存储器主要用来______。

A:存放程序和数据 B:存放数据 C:存放微程序 D:存放程序答案:存放程序和数据3.电子计算机的算术/逻辑单元、控制单元及主存储器合称为______。

A:UP B:ALU C:CPU D:主机答案:主机4.输入、输出装置以及外接的辅助存储器称为______。

A:主机 B:存储器 C:操作系统 D:外围设备答案:外围设备5.用户与计算机通信的界面是______。

A:应用程序 B:文本处理 C:外围设备 D:CPU 答案:外围设备6.下列______不是输入设备。

A:打印机 B:鼠标器 C:画笔及图形板 D:键盘答案:打印机7.一片1MB的磁盘能存储______的数据。

A:220字节 B:210字节 C:109字节 D:106字节答案:220字节8.计算机硬件能直接执行的只能是_____。

A:符号语言 B:机器语言 C:汇编语言 D:机器语言和汇编语言答案:机器语言9.一个节拍信号的宽度是指_____。

A:时钟周期 B:指令周期 C:存储周期 D:机器周期答案:时钟周期10.32位的个人计算机,一个字节由______位组成。

A:32 B:16 C:4 D:8 答案:811.微处理器可以用来做微型计算机的CPU。

A:错 B:对答案:错12.决定计算机运算精度的主要技术指标是计算机的字长。

A:错 B:对答案:对13.计算机总线用于传输控制信息、数据信息和地址信息的设施。

A:对 B:错答案:对14.计算机系统软件是计算机系统的核心软件。

A:对 B:错答案:对15.计算机运算速度是指每秒钟能执行操作系统的命令个数。

A:对 B:错答案:错16.计算机主机由CPU、存储器和硬盘组成。

计算机组成原理第四章和第六章部分答案 (2)

计算机组成原理第四章和第六章部分答案 (2)

X=9BH[X]移=9BH [X]移=27+X X =[X]移-27=1,0011011-1,0000000=0,0011011 X =+276.21 加减交替法X/YX=(13/32)10=(0.01101)2 ,X=(-27/32)10=(-0.11011)2[X]原=0.01101 [Y]原=1.11011[Y*]补=0.11011 [-Y*]补=1.00101被除数 商0.01101 0.0000+ 1.00101 +[-Y*]补1.10010 01.00100 0 ←+ 0.11011 +[Y*]补1.11111 0 01.11110 0 0 ←+ 0.11011 +[Y*]补0.11001 0 0 11.10010 0 0 1 ←+ 1.00101 [-Y*]补0.10111 0 0 1 11.01110 0 0 1 1←+ 1.00101 [-Y*]补0.10011 0 0 1 1 11.00110 0 0 1 1 1 ←+ 1.00101 [-Y*]补0.01011 0.0 1 1 1 1X 0⊕Y 0=1][YX 原=1.01111 余数为:0.00000010116.20 (4) booth 法(补)-----求x.yX=0.11011 y=-0.11101[x]补=00.11011 [-x]补=11.00101 [ Y]补=1.00011部分积 乘数00.00000 1.00011 0+[ -x]补 11.0010111.00101→ 11.10010 110001 1+0 00.0000011.10010 1→ 11.11001 011000 1+ [x]补 00.1101100.10100 01→ 00.01010 001100 0+0 00.0000000.01010 001→ 00.00101 000110 0+0 00.0000000.00101 0001→ 00.00010 100011 0+ [-x]补 11.0010111.00111 10001[XY]补=11.00111 10001XY=-0.11000011114.15(1)地址范围:1)系统区A15~A12为000, 4KB 的空间为:0000H ~0FFFH2)4096~16383区间:地址为1000H ~3FFFH(2)选用ROM 2片(2K ⨯8位或4K ⨯4位)RAM 3片 (4K ⨯8位)(3)第二种方案两片ROM ,位扩展产生4KX8位这样四个4K ⨯8位,片选为Y0#,Y1#,Y2#,Y3# (A A 1314A 12、 A A 1314A 12、A A A 121314、A A A 121314 其中A15=0连接:译码器C 、B 、A 接CPU 的A14A13A12引脚G2A#接A15G2B#接MREQG1按5V电源Y0#按ROM的片选、Y1#接RAM1的片选、Y2#接RAM2的片选Y3#接RAM3的片选。

计算机组成原理第四章课后习题和答案解析[完整版]

计算机组成原理第四章课后习题和答案解析[完整版]

第4章存储器1. 解释概念:主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory。

答:主存:主存储器,用于存放正在执行的程序和数据。

CPU可以直接进行随机读写,访问速度较高。

辅存:辅助存储器,用于存放当前暂不执行的程序和数据,以及一些需要永久保存的信息。

Cache:高速缓冲存储器,介于CPU和主存之间,用于解决CPU和主存之间速度不匹配问题。

RAM:半导体随机存取存储器,主要用作计算机中的主存。

SRAM:静态半导体随机存取存储器。

DRAM:动态半导体随机存取存储器。

ROM:掩膜式半导体只读存储器。

由芯片制造商在制造时写入内容,以后只能读出而不能写入。

PROM:可编程只读存储器,由用户根据需要确定写入内容,只能写入一次。

EPROM:紫外线擦写可编程只读存储器。

需要修改内容时,现将其全部内容擦除,然后再编程。

擦除依靠紫外线使浮动栅极上的电荷泄露而实现。

EEPROM:电擦写可编程只读存储器。

CDROM:只读型光盘。

Flash Memory:闪速存储器。

或称快擦型存储器。

2. 计算机中哪些部件可以用于存储信息?按速度、容量和价格/位排序说明。

答:计算机中寄存器、Cache、主存、硬盘可以用于存储信息。

按速度由高至低排序为:寄存器、Cache、主存、硬盘;按容量由小至大排序为:寄存器、Cache、主存、硬盘;按价格/位由高至低排序为:寄存器、Cache、主存、硬盘。

3. 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次?答:存储器的层次结构主要体现在Cache-主存和主存-辅存这两个存储层次上。

Cache-主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。

主存-辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

计算机组成原理第四章习题
1. EPROM是指______。

A. 读写存储器
B. 只读存储器
C. 可编程的只读存储器
D. 可擦除可编程的只读存储器
2. 在主存和CPU之间增加cache存储器的目的是______。

A. 增加内存容量
B. 提高内存可靠性
C. 解决CPU和主存之间的速度匹配问题
D. 增加内存容量,同时加快存取速度
3. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。

A. SRAM
B. 闪速存储器
C. cache
D.辅助存储器
4.CD-ROM是______型光盘。

A.一次
B.重写
C.只读
5. 外存储器与内存储器相比,外存储器______。

A.速度快,容量大,成本高
B.速度慢,容量大,成本低
C.速度快,容量小,成本高
D.速度慢,容量大,成本高
6.常用的虚拟存储系统由______两级存储器组成。

A.主存—辅存
B.快存—主存
C.快存—辅存
D.通用寄存器—主存
7.在虚拟存储器中,当程序正在执行时,由______完成地址映射。

A.程序员
B.编译器
C.装入程序
D.硬件和操作系统
8.采用虚拟存储器的目的是______。

A.提高主存储器的存取速度
B.扩大主存储器的存储空间
C.提高外存储器的存取速度
D.扩大外存储器的存储空间
9.程序员编程所用的地址叫做______。

A.逻辑地址
B.物理地址
C.真实地址
10.CRT的分辨率为1024ⅹ1024像素,像素的颜色数为256,则刷新存储器的容量是______。

A.256KB
B.512KB
C. 1MB
D. 8MB
11. 某机字长32位,存储容量1MB,按字节编址。

若按字寻址,它的寻址范围是______。

A.1MB
B.512KB
C.256K
D.256KB
12.某计算机字长32位,其存储容量为4MB,按字节编址。

若按半字寻址,它的寻址范围是______。

A.4MB
B.2MB
C.2M
D.1M
13.某计算机字长32位,存储容量是8MB,按字节编址。

若按双字寻址,那么它的寻址范围是______。

A. 256K
B. 512K
C. 1M
D. 2M
14. “与非”门中的某一个输入值为“0”,那么它的输出值______。

A. 为“0”
B. 为“1”
C. 要取决于其他输入端的值
15.一个四体并行低位交叉的存储器,每个模块的容量是64K×32位,存取周期为200ns,下列说法正确的是______。

A. 在200ns内,存储器能向CPU提供256位二进制信息
B. 在200ns内,存储器能向CPU提供128位二进制信息
C. 在50ns内,每个模块能向CPU提供32位二进制信息
16.在下列因素中,与Cache命中率无关的是 ______。

A. Cache块的大小
B. Cache的容量
C. 主存的存取时间
17.Cache的地址映象中,若主存中的任一块均可映射到Cache内的任一块的位置上,称为 ______。

A. 直接映象
B. 全相联映象
C. 组相联映象
18. 磁盘的盘面上有很多半径不同的同心圆,这些同心圆称为______。

A. 扇区
B. 磁道
C. 磁柱
19.在磁盘存储器中,找道时间是______。

A. 使磁头移动到要找的柱面上所需的时间
B. 在磁道上找到要找的扇区所需的时间
C. 在扇区中找到要找的数据所需的时间
20. 活动磁头磁盘存储器的平均寻址时间指______。

A. 平均找道时间
B. 平均寻道时间加平均等待时间
C. 平均等待时间
21. 由于磁盘上的内部同心圆小于外部同心圆,则对其所存储的数据量而言,______。

A. 内部同心圆大于外部同心圆
B. 内部同心圆等于外部同心圆
C. 内部同心圆小于外部同心圆
应用题:
1、用16k×8位的SRAM芯片构成32K×16位的存储器,要求画出该存储器的组成逻辑框图。

2、已知某8位机的主存采用半导体存储器,地址码为18位,采用4K×4位的SRAM芯片组成该机所允许的最大主存空间,并选用模块条形式,问:
1)若每个模块条为32K×8位,共需几个模块条?
2)每个模块条内有多少片RAM芯片?
3)主存共需多少RAM芯片?CPU需使用几根地址线来选择各模块?使用何种译码器?
3、设有16个固定磁头的硬盘,每道的存储容量为62 500B,盘驱动器转速为40r/s,求最大数据传输率。

4、设写入磁盘存储器的数据代码是1011011100,分别画出归零制(RZ)、不归零制(NRZ)、调相制(RM)、调频制(FM)四种记录方式的写磁头电流波形。

5、磁盘组有6个磁盘,每片有两个记录面,存储区域内径为22cm,外径为33cm,道密度为40tpm(道每毫米),内层位密度为40bpm,转速为40r/s,问:
1)共有多少存储面可用?
2)共有多少柱面?
3)盘组总存储容量是多少?
4)数据传输率是多少?。

相关文档
最新文档