哈工大-电工电子实验-EDA实验报告

合集下载

EDA实验报告正文

EDA实验报告正文

实验一单级放大电路的设计与仿真一、实验目的1.掌握放大电路静态工作点的调整与测试方法;2.掌握放大电路动态参数的测试方法;3.观察动态工作点的选择对输出波形及放大倍数的影响。

二、实验要求1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。

2.调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3.调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。

在此状态下测试:①电路静态工作点值;r、ce r值;②三极管的输入、输出特性曲线和 、be③电路的输入电阻、输出电阻和电压增益;f、H f值。

④电路的频率响应曲线和L三、实验步骤1.单级放大电路的原理图:2.a.电路的饱和失真:静态工作点参数:图表3 饱和失真时静态工作点参数数据分析:Ib=12.90259uA Ic=2.07754mA Uce=0.20717V此时电位器为0%,基极静态电流过大而导致三极管达到饱和,使得电路出现失真。

b.电路的截止失真:图表4 截止失真时输出电压波形图静态工作点参数:图表5 截止失真时静态工作点参数数据分析:Ib=3.87579uA Ic=834.27745uA Uce=6.07513V此时电位器为100%,使得基极电位减小,三极管截止导致电路出现失真。

c.电路的最大不失真输出:图表6 最大不失真时输出电压波形图静态工作点参数:图表7 最大不失真时输出时静态工作点参数数据分析:Ube=0.64415V IC=1.87602mA IB=9.05731uA Uce=1.23723V β=207 此时电位器为20%3.a.三极管的输入特性:图表8 输入特性测试电路图表9 最大不失真时输入特性曲线从上图数据可以得出rbe=2.8353kΩb.三极管的输出特性:电路图及曲线:图表10 输出特性测试电路图表11 最大不失真时输出特性曲线从上图数据可以得出rce=10.793kΩ4.输入电阻的测量:图表12 输入电阻测量电路及数据从上图数据可以得出测试输入电流i=3.864uA 电阻Ri=2.588kΩ理论输入电阻Ri=2.483 kΩ误差4.1%5.输出电阻的测量:图表13 输出电阻测量电路及数据从上图数据可以得出测试输出电阻Ro=2.097kΩ理论输出电阻Ro=2.030 kΩ误差3.2%6.电压增益:图表14 电压增益测量电路及数据Au=110.557.电路的频率特性:图表15 波特图及数据最大输出增益41.25dB图表15 波特图及数据fL=229Hz fH=14.470MHz四、实验小结从以上测量的数据与理论计算值比较可以发现存在一定的误差,误差产生的原因可能包括:a.本次试验中的器件均选用实际器件,它们自身存在随机误差,例如:实验所用三极管的输出特性曲线放大区并非理想的水平平行线,而是发散的,只就导致正常放大的波形出现不对称行,仿佛截止失真。

eda技术实验报告

eda技术实验报告

EDA技术实验报告1. 背景介绍EDA(Exploratory Data Analysis)是指探索性数据分析,是数据科学和机器学习中一项重要的任务。

通过EDA技术,我们可以对数据集进行可视化和统计分析,从而深入了解数据的特征和结构,为后续的数据处理和建模提供指导。

2. 实验目的本实验旨在通过使用EDA技术来分析一个给定的数据集,并从中获取有价值的信息。

通过实践,我们将深入了解EDA技术的应用和优势。

3. 实验步骤步骤1:导入数据首先,我们需要将实验所需的数据导入到Python的数据分析库中。

我们可以使用pandas库读取数据集,并将其存储为DataFrame对象,以便后续的分析和处理。

import pandas as pd# 读取数据集data = pd.read_csv('data.csv')步骤2:数据概览在进行数据分析之前,我们先要对数据进行整体的了解。

我们可以通过以下几个步骤来获取数据的概览信息:1.查看数据的前几行,了解数据的结构和格式。

data.head()2.查看数据的基本统计信息,包括均值、标准差、最小值、最大值等。

data.describe()3.检查数据中是否存在缺失值或异常值。

data.isnull().sum()步骤3:数据可视化EDA技术的核心之一是数据可视化。

通过可视化数据,我们可以更直观地理解数据的分布和关系。

下面是几种常用的数据可视化方法:1.直方图:用于展示数值型数据的分布情况,可以帮助我们了解数据的集中趋势和离散程度。

data['column'].plot.hist()2.散点图:用于展示两个数值型变量之间的关系,可以帮助我们发现数据的相关性。

data.plot.scatter(x='column1', y='column2')3.条形图:用于展示类别型数据的分布情况,可以帮助我们比较不同类别之间的差异。

EDA实验报告(12份).pdf

EDA实验报告(12份).pdf

实验一组合电路的设计1. 实验目的:熟悉MAX + plus II 的VHDL 文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

2. 实验内容:设计一个2选1多路选择器,并进行仿真测试,给出仿真波形。

3. 实验程序如下:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b,s:in std_logic;y:out std_logic); end entity mux21a; architecture one of mux21a is beginy<=a when s='0' else b ; end architecture one ;4. 仿真波形(如图1-1所示)图1-1 2选1多路选择器仿真波形5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y<=a when s='0' else b ;),完成2路选择输出。

实验二时序电路的设计1. 实验目的:熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。

2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。

3. 实验程序如下:library ieee;use ieee.std_logic_1164.all;entity suocun7 isport(clk: in std_logic;en: in std_logic;D: in std_logic_vector(7 downto 0);B:out std_logic_vector(7 downto 0)); end suocun7;architecture one of suocun7 issignal K: std_logic_vector(7 downto 0); beginprocess(clk,en,D)beginif clk'event and clk='1' thenif en ='0'thenK<=D;end if;end if;end process;B<=K;end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形此程序完成的是一个8位锁存器,当时钟上升沿到来(clk'event and clk='1')、使能端为低电平(en ='0')时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。

EDA实验报告完结版

EDA实验报告完结版

EDA实验报告完结版一、实验目的本次 EDA 实验的主要目的是通过实际操作和设计,深入理解和掌握电子设计自动化(EDA)技术的基本原理和应用。

具体而言,包括熟悉 EDA 工具的使用方法,学会运用硬件描述语言(HDL)进行逻辑电路的设计与描述,以及通过综合、仿真和实现等流程,将设计转化为实际的硬件电路,并对其性能进行评估和优化。

二、实验环境本次实验所使用的 EDA 工具为_____,该工具提供了丰富的功能模块和强大的设计支持,包括原理图编辑、HDL 代码编写、综合、仿真和下载等。

实验所使用的硬件平台为_____开发板,其具备多种接口和资源,便于对设计的电路进行实际验证和测试。

三、实验内容1、基本逻辑门电路的设计与实现使用 HDL 语言(如 Verilog 或 VHDL)设计常见的基本逻辑门电路,如与门、或门、非门等。

通过编写代码,对逻辑门的输入输出关系进行描述,并进行综合和仿真,验证设计的正确性。

2、组合逻辑电路的设计与实现设计并实现较为复杂的组合逻辑电路,如加法器、减法器、编码器、译码器等。

运用 HDL 语言描述电路的功能,进行综合和仿真,确保电路在各种输入情况下的输出结果符合预期。

3、时序逻辑电路的设计与实现设计常见的时序逻辑电路,如计数器、寄存器、移位寄存器等。

在设计过程中,考虑时钟信号、同步复位和异步复位等因素,通过仿真验证时序逻辑的正确性,并对电路的性能进行分析。

4、有限状态机(FSM)的设计与实现设计一个有限状态机,实现特定的功能,如交通信号灯控制器、数字密码锁等。

明确状态转移条件和输出逻辑,通过编写 HDL 代码实现状态机,并进行综合和仿真,验证其功能的准确性。

5、综合与优化对设计的电路进行综合,生成门级网表,并通过优化工具对电路进行面积、速度等方面的优化,以满足特定的设计要求。

6、硬件实现与测试将综合后的设计下载到硬件开发板上,通过实际的输入输出信号,对电路的功能进行测试和验证。

观察电路在实际运行中的表现,对出现的问题进行分析和解决。

EDA实验报告

EDA实验报告

EDA 实验实验一 用原理图输入法设计半加器一、实验目的:1.熟悉利用Quartus Ⅱ的原理图输入方法设计简单组合电路;2.通过一个半加器的设计把握利用EDA 软件进行电子线路设计的详细流程;3.学会对实验板上的FPGA/CPLD 进行编程下载,硬件验证自己的设计项目。

二、实验器材:1、计算机及操作系统2、QUARTUS II 软件三、实验要求:1. 利用原理图输入法对半加器电路进行描述;2. 进行波形仿真测试;3. 严格按照实验步骤进行实验;4. 管脚映射按照芯片的要求进行。

四、实验原理其中a, b 为输入端口,So 与Co 分别为半加器和与进位。

其逻辑表达式为:2. 根据逻辑表达式进行原理图输入。

五、实验步骤:1. 为本项工程设计建立文件夹。

注意文件夹名不能用中文,且不可带空格。

2. 输入设计项目并存盘。

3. 将设计项目设计为工程文件。

4. 选择目标器件并编译。

b a b a b a So ⊕=+=ab Co =5. 时序仿真。

6. 引脚锁定。

7. 编程下载。

实验二用原理图法设计一位、四位全加器一、实验目的:1. 熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路;2. 通过一个半加器的设计把握利用EDA 软件进行电子线路设计的详细流程;3. 学会对实验板上的FPGA/CPLD 进行编程下载,硬件验证自己的设计项目。

二、实验器材:1、计算机及操作系统2、QUARTUS II软件三、实验要求:1.利用原理图输入法对一位全加器电路进行描述;2. 进行波形仿真测试;3. 严格按照实验步骤进行实验;四、实验原理:利用实验一所设计的半加器设计一位全加器;利用设计封装好的一位全加器进行四位全加器的设计。

五、实验步骤:与实验一相同。

六、实验报告:1. 要求画出一位、四位全加器的真值表;2. 分析用半加器实现一位全加器的优点;3. 对波形进行分析,并绘制波形图。

实验三用文本输入法设计D触发器和锁存器一、实验目的:1. 熟悉QuartusⅡ的VHDL 文本设计过程。

EDA技术实验报告

EDA技术实验报告

(此文档为word格式,下载后您可任意编辑修改!)实验一利用原理图输入法设计4位全加器一、实验目的:掌握利用原理图输入法设计简单组合电路的方法,掌握MAX+plusII的层次化设计方法。

通过一个4位全加器的设计,熟悉用EDA软件进行电路设计的详细流程。

二、实验原理:一个4位全加器可以由4个一位全加器构成,全加器的进位以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的低位进位输入信号cin相接。

1位全加器f-adder由2个半加器h-adder和一个或门按照下列电路来实现。

半加器h-adder由与门、同或门和非门构成。

四位加法器由4个全加器构成三、实验内容:1. 熟悉QuartusII软件界面,掌握利用原理图进行电路模块设计的方法。

QuartusII设计流程见教材第五章:QuartusII应用向导。

2.设计1位全加器原理图(1)生成一个新的图形文件(file->new->graphic editor)(2)按照给定的原理图输入逻辑门(symbol->enter symbol)(3)根据原理图连接所有逻辑门的端口,并添加输入输出端口(4)为管脚和节点命名:在管脚上的PIN_NAME处双击鼠标左键,然后输入名字;选中需命名的线,然后输入名字。

(5)创建缺省(Default)符号:在 File菜单中选择 Create Symbol Files for Current File 项,即可创建一个设计的符号,该符号可被高层设计调用。

3.利用层次化原理图方法设计4位全加器(1)生成新的空白原理图,作为4位全加器设计输入(2)利用已经生成的1位全加器的缺省符号作为电路单元,设计4位全加器的原理图.4.新建波形文件(file->new->Other Files->Vector Waveform File),保存后进行仿真(Processing ->Start Simulation),对4位全加器进行时序仿真。

EDA实验报告(程序)

EDA实验报告(程序)

江西科技师范大学实验报告课程EDA技术与verilog实验系别通信与电子学院班级10电科一班学号20102481姓名刘先明报告规格一、实验目的二、实验原理三、实验仪器四、实验方法及步骤五、实验记录及数据处理误差分析及问题讨论实验一 EDA实验箱使用一.实验目的1.GW48教学实验系统原理与使用介绍2.熟悉QuartusII两种输入方式下编译、仿真简单的组合电路。

二.实验内容首先了解GW48系统使用注意事项以及GW48系统主板结构与使用方法,接着对各实验电路结构图特点与适用范围简述。

最后在QuartusII界面下,用文本输入和图形输入分别验证九选一多路选择器的功能。

三.程序清单文本输入如下所示:module mux91a(a0,a1,a2,a3,a4,a5,a6,a7,a8,out6,sel);input a0,a1,a2,a3,a4,a5,a6,a7,a8;input [3:0] sel;output out6;reg out6;always @ (a0,a1,a2,a3,a4,a5,a6,a7,a8)begincase (sel)0:out6<=a0;1:out6<=a1;2:out6<=a2;3:out6<=a3;4:out6<=a4;5:out6<=a5;6:out6<=a6;7:out6<=a7;8:out6<=a8;default:out6<=0;endcaseendendmodule图形输入如下所示:四.实验步骤1、新建一个名称为MUX91a的工程,并在该文件夹中新建一个MUX91a.v的文件。

2、编译代码,编译成功后进行第三步,若不成功则查改代码中的错误。

3、在工程文件夹中新建一个MUX91a.vwf的波形文件,导入工程端口,设置输入波形,仿真得出输出端口波形。

4、验证输出端口波形是否达到四选一多路选择器的功能。

仿真波形如下图所示。

eda实验报告完整版

eda实验报告完整版

EDA实验报告焦中毅201300121069实验1 4选1数据选择器的设计一、实验目的1.学习EDA软件的基本操作。

2.学习使用原理图进行设计输入。

3.初步掌握器件设计输入、编译、仿真和编程的过程。

4.学习实验开发系统的使用方法。

二、实验仪器与器材1.EDA开发软件一套2.微机一台3.实验开发系统一台4.打印机一台三、实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。

实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。

本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。

实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。

例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。

学会管脚锁定以及编程下载的方法等。

四、实验要求1.完成4选1数据选择器的原理图输入并进行编译;2.对设计的电路进行仿真验证;3.编程下载并在实验开发系统上验证设计结果。

五、实验结果4选1数据选择器的原理图:仿真波形图:管脚分配:实验2 四位比较器一、实验目的1.设计四位二进制码比较器,并在实验开发系统上验证。

2.学习层次化设计方法。

二、实验仪器与器材1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和0123B B B B ,输出为M (A=B ),G (A>B )和L (A<B )(如图所示)。

用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。

四、实验要求1.用硬件描述语言编写四位二进制码 比较器的源文件; 2.对设计进行仿真验证; 3.编程下载并在实验开发系统上进行 硬件验证。

EDA实验实验报告2

EDA实验实验报告2

EDA实验实验报告学号:姓名:彭文勇院系:微电子技术系专业:嵌入式教师:李海2010年12月实验一一位全加器的设计实验地点:第二实验楼405同组人员:孙腾坤一、实验目的通过次实验我们逐步了解、熟悉和掌握FPGA开发软件Quartus II 的使用及Verilog HDL的编程方法。

学习用Verilog HDL语言以不同的方式来描述1位全加器及电路的设计仿真和硬件测试。

二、实验原理和内容本实验的内容是建立一个1位全加器。

具体内容包括:(1)使用Quartus II建立工程、编写程序;(2)进行波形仿真验证;(3)进行硬件测试。

通过SmartSOPC试验箱上的按键KEY1~KEY3输入信号,分别为A、B和cin,并通过LED1~LED3指示相应的状态。

输出Sum和cout通过LED7和LED8指示(灯亮表示输入或输出为“1”)。

三、实验步骤(1)启动Quartus II建立一个空白工程,然后命名为full_add。

(2)新建Verilog HDL源文件full_add.v,输入程序代码并保存,然后进行综合编译。

若在编译过程中发现错误,则找出并更正错误,直至编译成功为止,并生成图形符号文件full_add.bdf。

(3)波形仿真验证。

(4)新建图形设计文件命名为full_add.bdf并保存。

微电子技术系(5)选择目标器件并对相应的引脚进行锁定,我们选Altera公司Cyclone系列的EP1C6Q240C8芯片,引脚锁定方法参考实验书后面的附录A引脚分配。

将为使用的引脚设置为三态输入(一定要设置否则可能损坏芯片)。

(6)将full_add.bdf设置为顶层实体。

对该工程文件进行全程便已处理。

若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。

(7)将跳线短接帽调解到JP6的KEY1~KEY3、LED0~LED2、LED6和LED7,使KEY1~KEY3、LED1~LED3、LED7、LED8与芯片对应的引脚相连。

大工电工电子实验报告(3篇)

大工电工电子实验报告(3篇)

第1篇一、实验目的本次实验旨在使学生掌握电工电子实验的基本方法和步骤,培养学生的动手能力、分析问题和解决问题的能力。

通过本次实验,使学生深入了解电工电子基本元件的性能和应用,提高学生的实践操作技能,为后续专业课程的学习打下坚实基础。

二、实验器材1. 电源:直流稳压电源2. 电阻:1kΩ、10kΩ、100kΩ、1MΩ3. 电容:0.1μF、0.01μF、0.001μF4. 电感:10mH、100mH、1H5. 三极管:3DG6、3AX316. 线路板:1块7. 万用表:1块8. 信号发生器:1台9. 仪器:示波器、频率计、信号发生器等三、实验内容及步骤1. 电阻、电容、电感的测量(1)连接电路:将电阻、电容、电感依次接入电路,测量其阻值、容值和感值。

(2)数据分析:根据测量数据,绘制电阻、电容、电感随频率变化的曲线。

2. 三极管共射极放大电路(1)连接电路:按照电路图连接三极管共射极放大电路。

(2)调试电路:调整电路参数,使放大电路正常工作。

(3)测试电路:使用示波器观察输出波形,分析放大电路的性能。

3. 集成运算放大器电路(1)连接电路:按照电路图连接集成运算放大器电路。

(2)调试电路:调整电路参数,使运算放大器正常工作。

(3)测试电路:使用示波器观察输出波形,分析运算放大器电路的性能。

4. 波形产生电路(1)连接电路:按照电路图连接波形产生电路。

(2)调试电路:调整电路参数,使波形产生电路正常工作。

(3)测试电路:使用示波器观察输出波形,分析波形产生电路的性能。

四、实验结果与分析1. 电阻、电容、电感的测量结果与分析通过实验,测量得到电阻、电容、电感随频率变化的曲线。

根据测量数据,可以分析出电阻、电容、电感在不同频率下的性能。

2. 三极管共射极放大电路性能分析通过实验,观察输出波形,分析放大电路的性能。

在合适的电路参数下,放大电路可以实现信号的放大。

3. 集成运算放大器电路性能分析通过实验,观察输出波形,分析运算放大器电路的性能。

EDA实验报告(同名8284)

EDA实验报告(同名8284)

EDA设计实验报告0710200321雷宇目录一.实验一 (5)1.实验目的 (5)2.实验要求 (5)3.实验电路 (6)4实验过程 (6)4.1饱和失真 (7)4.2截止失真 (9)4.3不失真情况下电路 (11)(1)电压增益 (11)(2)静态工作点 (12)(3)输入电阻 (12)(4)输出电阻 (14)(5)频率响应曲线和f L、f H值 (14)5.实验一总结 (16)二.实验二 (17)1.实验目的 (17)2.实验要求 (17)3.实验过程 (17)3.1实验原理图 (17)3.2未接入负反馈电路 (18)(1)频率特性和fL、fH值 (18)(2)输出开始出现失真时的输入信号幅度 (19)(3)未接入负反馈电路的放大倍 (21)(4)未接入负反馈电路的输入电阻 (21)(5)未接入负反馈电路输出电阻 (22)3.3负反馈电路 (23)(1)频率特性和fL、fH值 (23)(2)输出开始出现失真时的输入信号幅度 (24)(3)负反馈电路的放大倍数 (25)(4)负反馈电路的输入电阻 (26)(5)负反馈电路的输出电阻 (27)(6)验证A F 1/F (28)4.实验二总结 (28)三.实验三 (29)1.实验内容 (29)2.实验原理 (29)3.实验过程 (30)3.1方波发生器 (30)3.2微分电路 (31)3.3限幅电路 (33)3.4积分累加电路 (34)3.5阶梯波周期 (35)3.6调试元件参数 (36)4.实验三总结 (37)四.全文总结 (38)五.参考文献 (39)实验一:单级放大电路一、实验目的1、通过设计三极管的单级放大电路,了解三极管放大电路的动态工作点和静态工作点的设置方法,使其对放大电路原理有更加深刻的理解。

2、通过这种单级放大电路的设计与分析、仿真,学会使用Multisim 7.0软件对电路进行动态分析、静态分析及频率分析等方法。

能较为熟练的掌握Multisim7.0的用法。

(完整word版)EDA实验报告

(完整word版)EDA实验报告

计算机学院计算机科学与技术专业1班____组、学号姓名协作者______________ 教师评定_________________实验题目_________基于Libero的数字逻辑设计仿真及验证实验_________1、熟悉EDA工具的使用;仿真基本门电路。

2、仿真组合逻辑电路。

3、仿真时序逻辑电路。

4、基本门电路、组合电路和时序电路的程序烧录及验证。

5、数字逻辑综合设计仿真及验证。

实验报告1、基本门电路一、实验目的1、了解基于Verilog的基本门电路的设计及其验证。

2、熟悉利用EDA工具进行设计及仿真的流程。

3、学习针对实际门电路芯片74HC00、74HC02、74HC04、74HC08、74HC32、74HC86进行VerilogHDL设计的方法。

二、实验环境Libero仿真软件。

三、实验内容1、掌握Libero软件的使用方法。

2、进行针对74系列基本门电路的设计,并完成相应的仿真实验。

3、参考教材中相应章节的设计代码、测试平台代码(可自行编程),完成74HC00、74HC02、74HC04、74HC08、74HC32、74HC86相应的设计、综合及仿真。

)4、提交针对74HC00、74HC02、74HC04、74HC08、74HC32、74HC86(任选一个....的综合结果,以及相应的仿真结果。

四、实验结果和数据处理1、所有模块及测试平台代码清单..(完整word版)EDA实验报告//74HC00代码-与非module HC00(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A&B);//与非endmodule//74HC00测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;(完整word版)EDA实验报告endendmodule//74HC02代码-或非module HC02(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=~(A|B);//或非endmodule//74HC02测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC04代码-非module HC04(A,Y);input [4:1]A;output [4:1]Y;assign Y=~A;endmodule//74HC04测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;(完整word版)EDA实验报告#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC08代码-与module HC08(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A&B;endmodule//74HC08测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;HC00 u1(a,b,y);initialbegin(完整word版)EDA实验报告a=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC32代码-或module HC32(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A|B;endmodule//74HC32测试平台代码`timescale 1ns/1nsmodule testbench();reg [4:1] a,b;wire [4:1] y;(完整word版)EDA实验报告HC00 u1(a,b,y);initialbegina=4'b0000;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;a=4'b1111;b=4'b0001;#10 b=b<<1;#10 b=b<<1;#10 b=b<<1;endendmodule//74HC86代码-异或module HC86(A,B,Y);input [4:1]A,B;output [4:1]Y;assign Y=A^B;endmodule//74HC86测试平台代码`timescale 1ns/1ns(完整word 版)EDA 实验报告module testbench(); reg [4:1] a,b; wire [4:1] y;HC00 u1(a,b,y);initial begin a=4'b0000;b=4'b0001; #10 b=b<<1; #10 b=b<<1; #10 b=b<<1;a=4'b1111;b=4'b0001; #10 b=b<<1; #10 b=b<<1;#10 b=b<<1;endendmodule2、第一次仿真结果(任选一个....门,请注明,......插入截图,.....下同..)。

EDA实验报告

EDA实验报告

EDA课程实践感悟EDA是电子设计自动化(Electronic Design Automation)的英文缩写,是随着集成电路和计算机技术飞速发展应运而生的一种快速、有效、高级的电子设计自动化工具。

这次学校为我们安排的EDA 课程包括了课堂讲授、课后自修以及课程实验三个环节,从这次课程当中我学习到了很多关于电子设计自动化的基础知识,锻炼提高了多方面的综合能力。

一自己对EDA的了解通过课堂老师的讲述和课后对书本的自习,我初步了解了EDA的特征和优势,目标和流程并知道了一些EDA公司和工具,并学习了可编程逻辑器件基础。

1 EDA的特征和优势在现代电子设计中,几乎所有的设计工作都需要在计算机上进行。

,设计者只需完成对系统功能的描述,就可以由计算机软件进行处理得到设计结果。

EDA以硬件描述语言(Hardware Description Language)为系统逻辑描述手段完成的设计文件可以自动完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、布局布线和仿真测试,直至实现既定的电子线路系统功能。

飞速发展EDA 技术使得“自定而下”的设计方法被广泛使用。

在这种新的设计方法中,由用户也对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路ASIC来实现。

用户首先从系统级设计入手,在顶层进行功能方框图的划分和结构设计;在方框图以及进行仿真、纠错看,并用硬件描述语言对高层次的系统行为进行描述;用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现可以是印制电路板或专用集成电路。

EDA技术便利了复杂系统的设计,为用户提供了强大的系统建模和电路仿真功能,全方位地利用计算机和自动设计、仿真和测试技术,降低了设计者的硬件知识和硬件经验的要求。

2 EDA的设计流程一个典型的EDA 设计流程主要包括设计准备,设计输入、设计处理、器件变成和设计验证等五个基本步骤。

设计输入有多种方式,包括采用硬件描述语言进行设计的文本输入方式、图形输入方式和波形输入方式,或者采用文本、图形两者混合的设计输入方式,也可以采用“自顶向下”的层次设计方法,将多个输入和并为一个设计文件。

111EDA实验报告

111EDA实验报告

实验一 MaxplusⅡ软件的安装与使用一、实验目的:学习MaxplusⅡ软件的使用, 包括软件安装及基本的使用流程。

本次实验是以半加器的原理图输入法设计为例, 学习MaxplusⅡ软件的使用, 体会用原理图输入法设计简单组合电路的方法和详细设计流程。

掌握层次化设计的方法, 并通过一位全加器的设计把握利用EDA软件进行原理图输入法进行电子线路设计的详细流程。

为后续原理图的层次化设计打下基础。

本次实验不要求下载。

二、实验原理:半加器可以通过调用基本的与、或、非门来实现。

按照课本5.4节介绍的方法用原理图输入法设计一个半加器, 然后将其封装成模块以备下次实验调用。

三、实验内容和步骤:1.打开原理图编辑器, 完成半加器的设计。

半加器原理图如下:2.完成1位半加器的设计输入、目标器件选择、编译和仿真各步骤, 详细的过程见教材5.4节相关内容。

仿真结果如下:3.正确完成之后, 选择File\create\Create Symbol file for current file,将文件变成一个包装好的单一元件模块待调用。

四、实验结果与总结:实验二用原理图输入法设计一位全加器一、实验目的:熟悉MaxplusⅡ软件的原理图输入法的层次化设计方法, 并通过一位全加器的设计把握利用EDA软件进行原理图输入法进行电子线路层次化设计的详细流程。

本次实验要求进行下载验证。

二、实验原理:一位全加器可以由两个半加器构成。

可以利用上次实验设计的半加器模块, 完成本次全加器的设计。

本次全加器原理图文件是顶层文件。

三、实验内容和步骤:1、打开原理图编辑器, 调用上次实验包装好的1位半加器可画以下1 位全加器:保存并完全编译, 进行仿真, 结果如下:2.引脚锁定并下载:ain=> 对应实验箱上的bin=> 对应实验箱上的cin=> 对应实验箱上的sun=> 对应实验箱上的cout=> 对应实验箱上的3.引脚锁定后再重新进行编译, 连接实验箱并进行下载。

EDA课程设计实验报告

EDA课程设计实验报告

《EDA课程设计》报告学号:姓名:班级:指导教师:2013年6 月24日- 2013年7 月5日目录1.引言 ............................................................................................................... 错误!未定义书签。

2.原理图的设计................................................................................................ 错误!未定义书签。

文件的新建............................................................................................... 错误!未定义书签。

原理图文件XX .Sch的建立 ..................................................................... 错误!未定义书签。

元件库的导入........................................................................................... 错误!未定义书签。

原理图中各元件的布局和连线 (3)3.原理图新元件的设计 (4)元件库XX .Lib文件的建立 (4)原理图元件的画法................................................................................... 错误!未定义书签。

注意事项................................................................................................... 错误!未定义书签。

EDA实验报告(包含多个实验)

EDA实验报告(包含多个实验)

海南大学EDA实验报告学院:信息科学与技术学院专业班级:09理科实验班课程:EDA任课教师:***姓名:***学号:**************实验一 MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

4位全加器设计一个4位全加器可以由4个1位全加器构成,如图1.1所示,1位的全加器串行联接可以实现4位的二进制全加器。

图1.1 4位全加器电路原理图1位全加器可以由两个半加器和一个或门构成,如图1.2所示。

图1.2 全加器电路原理图1位半加器可以由与、或、非等基本门构成,如图1.3所示。

图1.3 半加器电路原理图根据实验原理中,采用层次法设计一个4位全加器。

四、实验步骤1、如图1.3所示,利用MAX-plusⅡ中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

注意:编译之前必须将文件设为当前文件。

2、建立一个更高得原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件(可根据需要对元件符号进行调整)。

3、再建立一个更高得原理图设计层次,如图1.1所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。

五、实验报告要求:详细描述4位全加器的设计过程,给出各层的电路原理图、元件图(原理图)以及对应的仿真波形;给出加法器的延时情况;最后给出硬件测试的流程和结果。

1)半加器图半加器仿真图2)全加器图全加器仿真图3)四位全加器仿真图实验二十进制计数器一、实验目的学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。

eda课程设计实验报告

eda课程设计实验报告

eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。

2. 学生能运用所学知识,设计并实现基本的数字电路。

3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。

技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。

2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。

3. 学生在小组合作中,提高沟通协调能力和团队协作能力。

情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。

2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。

3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。

课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。

学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。

教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档