三位数字计时器实验报告

合集下载

三位数码管实验报告

三位数码管实验报告

三位数码管实验——实验日志及报告1. 实验日志实验日期:2020.3.161.1三位数码管实验下载与观察1.1.1操作说明:待完成时序验证、管脚分配后:将下载电缆线与USB接口连接,打开实验板电源开关。

选择Tools->Programmer命令进入下载窗口(尚无实验板);单击Hardware Setup键,进入电缆配置窗口,USB版电缆在Available hardwa items列表选择USB-Blaster;然后选择Add File,选中.sof文件完成配置;选择Add Hardware,进入如图对话框,在Hardware type列表中选择ButeBlaster II项,Port 栏为LPT1,之后Start,Progress栏中出现100%则下载成功。

1.1.2 实验现象:下载成功后,三位数码管显示的都是0,且持续显示,熄灭时间极短,X0、Y7二极管常亮,拨动CLK开关,降低时钟频率,三个数码管依次显示;对三个通道分别进行设置,将配置的拨码开关相应的调整后可以看到数码管显示出相应的数字。

1.2示波器测量位选信号和时钟信号(暂无)2. 实验报告2.1实验目的A.结合三位数码管显示实验,熟悉软件quartusII的使用,熟悉FPGA开发模式;B.熟悉DDA系列数字系统实验平台的使用;C.在DDA—IIIA实验平台上完成三位数码管显示实验的观察与测量,进一步加深通过实验板验证电路的方法;D.了解图形输入、文本输入、层次实际的过程;E.了解图形输入的注意事项和画图技巧;2.2设计2.2.1模4计数器电路图仿真波形:芯片号:cycloneII ep2c5t144c8管脚分配:clk:pin_91、q[1]:pin_118、q[0]:pin_115说明:模4计数器可记录5个脉冲,以实现控制功能。

Quartus II工程设计过程:创建工程文件、电路设计、编译综合、仿真验证、引脚配置、编程下载、硬件验证等。

数字钟实验报告

数字钟实验报告

数字钟实验报告引言:数字钟是一种使用数字显示时间的时钟,它已经成为我们日常生活中不可或缺的一部分。

通过数字钟,我们可以准确地了解当前的时间,从而更好地安排自己的生活。

本实验旨在探究数字钟的原理和制作过程,并通过实际的制作过程加深对数字钟的了解。

一、原理介绍数字钟的原理基于电子技术和计时器的结合。

其中,主要包括以下几个部分:时钟芯片、数码管、控制电路以及电源等。

1.时钟芯片:时钟芯片是数字钟的核心部件,它内置了计时器和时钟功能。

通过时钟芯片,我们可以实现时间的自动更新和准确显示。

2.数码管:数码管是数字钟的显示部分,它由数根发光二极管组成,能够显示0-9的数字。

通过不同的控制电流和电压,数码管可以根据时钟芯片的指令来显示相应的数字。

3.控制电路:控制电路是连接时钟芯片和数码管之间的桥梁,它负责将时钟芯片输出的信号转换为数码管可识别的信号。

控制电路可以通过编码器、解码器和集线器等元件来实现。

4.电源:电源为数字钟提供所需的电能,将电能转换为供时钟芯片和数码管正常工作所需的电流和电压。

二、实验准备在进行实验之前,我们需要准备以下实验器材:晶体管、电阻器、电容器、发光二极管、电线、焊接工具等。

1.选择晶体管:在制作数字钟的过程中,我们需要选择合适的晶体管来实现数字的显示。

常见的晶体管有阳极、阴极共阳、阴极共阴等。

根据所需的显示效果选择不同类型的晶体管。

2.电阻器和电容器:电阻器和电容器是控制电路的重要组成部分,它们能够限制电流和调节电压,从而保证数字钟的正常工作。

3.焊接工具:焊接工具是将各个器材连接在一起的关键。

使用焊接工具进行焊接时,需要注意操作安全,确保焊点牢固。

三、实验步骤通过以下步骤,我们可以逐步完成数字钟的制作:1.划定电路板:首先,我们需要在电路板上进行标记,划定数字钟的各个部分的位置。

这一步骤旨在确保各个元件的安装位置准确无误。

2.安装元件:接下来,我们可以一步步安装各个元件。

首先,焊接晶体管和电阻器等固定元件,然后进行焊接。

数电实验报告计数器

数电实验报告计数器

数电实验报告计数器计数器是数字电路中常见的一种电路元件,用于计数和显示数字。

在数电实验中,我们通常会设计和实现各种类型的计数器电路,以探究其工作原理和性能特点。

本文将介绍数电实验中的计数器的设计和实验结果,并探讨其应用和改进。

一、设计和实现在数电实验中,我们通常使用逻辑门和触发器来实现计数器电路。

逻辑门用于控制计数器的输入和输出,而触发器则用于存储和更新计数器的状态。

以4位二进制计数器为例,我们可以使用四个触发器和适当的逻辑门来实现。

触发器的输入端连接到逻辑门的输出端,而逻辑门的输入端连接到触发器的输出端。

通过适当的控制信号,我们可以实现计数器的正向计数、逆向计数、清零和加载等功能。

在实验中,我们需要根据设计要求选择适当的逻辑门和触发器,并将其连接起来。

然后,通过给逻辑门和触发器提供适当的输入信号,我们可以观察计数器的输出结果,并验证其正确性和稳定性。

二、实验结果在实验中,我们设计了一个4位二进制计数器,并通过适当的输入信号进行了测试。

实验结果表明,计数器能够正确地进行正向计数和逆向计数,并能够在达到最大计数值或最小计数值时自动清零。

此外,我们还观察到计数器的输出信号在计数过程中保持稳定,并且能够及时响应输入信号的变化。

这说明计数器具有较高的稳定性和响应速度,适用于各种计数应用场景。

三、应用和改进计数器在数字电路中有广泛的应用,例如频率分频、时序控制、计时器等。

通过适当的设计和连接,我们可以实现各种复杂的计数功能,满足不同的应用需求。

在实验中,我们还可以对计数器进行改进和优化,以提高其性能和功能。

例如,我们可以增加计数器的位数,以扩大计数范围;我们还可以添加输入输出接口,以实现与其他电路元件的连接和通信。

此外,我们还可以使用更高级的计数器电路,如同步计数器、环形计数器等,以实现更复杂的计数功能。

这些改进和扩展将进一步提高计数器的灵活性和实用性。

总结:通过数电实验,我们了解了计数器的设计和实现原理,并验证了其在实际应用中的性能和功能。

简易数字钟实验报告

简易数字钟实验报告
课程设计
题目计算机控制技术综合课程设计
简易数字钟
二级学院电子信息与自动化
专 业电气工程及其自动化
班 级107070403
学生姓名冉静学号20
指导教师贺娟 雷李
考核项目
设计50分
平时成绩20分
答辩30分
设计质量20分
创新设计15分
报告质量15分
熟练程度20分
个人素质10分
得分
总分
考核等级
教师签名
简易数字时钟实验报告
1.方案一
简易的时钟,具有,整点报时的功能。但是没有秒钟的显示。接通电源后,程序开始执行。K1为设置现在时间功能键;K2为小时调整,按一下小时加一;K3为分钟调整,按一下为分钟加一;K4为完成键,设置完成后按下时钟进入正常的走时状态。
其中,P0口接的电阻为限流电阻,选用的数码显示管所需电流比较小;4位LED数码管的共阳极引脚分别与V1-V4三极管的集电极相连,三极管的基极分别通过限流电阻与单片机的P2端口的P2.0-P2.3引脚上。4位数码管显示器分别由4只三极管控制,例如,P2.0输出为低电平时V4三极管导通,与其相连的共阳极数码管显示器开始工作;P2.0输出高电平时V4三极管截止,与其相连的数码管显示器停止工作。
disdata[5]=s%10;//秒钟低位
for(i=0;i<6;i++)//循环显示
{
P1=0xff;
P1=seg7[disdata[i]];
P2=disp[i];
Delayms(2);//显示延时
}
}
void time0(void)interrupt 1 using 0 //时间计数函数
{
TH0=0xfc;//(65536-20000)/256;

电子电工实验报告——数字计时器

电子电工实验报告——数字计时器

南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。

② 了解各单元再次组合新单元的方法。

2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。

3.实验内容① 设计实现信号源的单元电路。

(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。

③ 设计实现快速校分单元电路。

含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。

④ 加入任意时刻复位单元电路(开关K2)。

⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。

二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。

电阻:1KΩ一只,3KΩ一只,150Ω四只。

电容:0.047uF 一只。

LED共阴双字屏二块。

1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。

图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。

最新数字钟实验报告

最新数字钟实验报告

最新数字钟实验报告实验目的:本实验旨在设计并构建一个数字时钟,通过编程和电子组件的使用,实现时间的精确显示和设置。

实验过程中,我们将学习如何使用微控制器、数码管显示以及编写相应的软件代码来控制时钟的运行。

实验材料:1. 微控制器(如Arduino UNO)2. 数码管显示模块3. 电阻、电容4. 跳线5. 电源适配器6. 编程软件(如Arduino IDE)实验步骤:1. 准备实验材料,并确保所有组件完好无损。

2. 连接微控制器与数码管显示模块,通过跳线将数码管的各个引脚与微控制器对应引脚相连。

3. 在Arduino IDE中编写数字钟的程序代码,包括时间设置、显示更新和闹钟功能。

4. 将编写好的代码上传至微控制器中。

5. 连接电源,测试数字钟是否能够正常运行,包括时间的显示、设置和闹钟功能。

6. 调整代码中的参数,确保时间显示的准确性和稳定性。

7. 记录实验数据和观察结果,对出现的问题进行分析和调试。

实验结果:通过实验,我们成功构建了一个数字钟,它能够显示小时、分钟和秒。

用户可以通过特定的按钮组合来设置时间,并且设定闹钟。

在测试过程中,时钟的显示准确无误,设置功能也运作正常。

闹钟在设定的时间准时响起,满足了实验的基本要求。

实验结论:本次实验验证了通过微控制器和数码管可以成功实现数字钟的设计和功能。

实验过程中遇到的问题主要涉及代码的优化和硬件的稳定性,通过调整代码和重新检查硬件连接,问题得到了解决。

最终,我们得到了一个功能完善、运行稳定的数字钟原型。

三位数字显示计时系统课程设计

三位数字显示计时系统课程设计

三位数字显示计时系统一.设计要求设计一个3位数字显示的时间计数系统,以供运动员比赛用。

要求精度到秒,最大计时9分59秒。

可以用按钮开关控制计数器的启动,停止及清零,开机时可以自动清零。

二.设计方案数字显示计时系统是通过控制电路使加法计数器对连续脉冲进行计数,而加法计数器通过译码器来显示它记忆的脉冲周期个数。

1.连续脉冲发生:可选用555定时器构成的多谐振荡器产生,也可选用石英晶体振荡器,通过计数器分频产生,获得精确的秒脉冲信号。

2.计数及译码显示:加法计数器构成电子秒表的计数单元。

分频器输出端取得周期为一秒的矩形脉冲送入计数器中。

三个计数器的输出端分别与三个数码显示译码器的相应输入端连接。

可显示0:00——9:59计时。

三.设计原理1.74LS160功能表;74LS160,为模十加法计数器。

使用三片:第一片清零端CR,置数端LD,CTT,CTP均置1,CP输入连续脉冲,实现模十计数,经过十个脉冲后,输出端CO=1。

将第一片芯片的输出端CO接第二个芯片的CTT和CTP,第二个芯片的置数端LD置1,CP输入连续脉冲,当第一个芯片循环一次时才开始计数。

由于该芯片为异步清零,所以将输出QB,QC接入与非门,输出接入清零端CR, 当计数至5时,实现清零,完成模六计数。

将第二片芯片的清零端的CR接高位片的脉冲输入端CP,高位位片清零端CR,置数端LD,CTT,CTP均置1,当第二片芯片实现一次清零即模六计数一次,才开始计数,实现模十计数。

2.74L00为与非门芯片。

与非门功能表四.设计仿真(EWB仿真)五.设计实验操作1.取实验所需芯片:一片74LS00,三片74LS1602.分别将三个74LS160电源,接地端接好,CP接连续脉冲,ENT,ENP,LD,CLR接1,QA,QB,QC,QD接数码显示管的A,B,C,D,测试芯片的计数功能。

74LS00电源,接地接好,按与非门的功能表测试芯片功能。

3.测试完成后,按如上仿真电路图连线。

多功能数字计时器实验报告.

多功能数字计时器实验报告.

多功能数字计时器实验报告姓名:***学号:************专业:信息对抗指导老师:***实验时间:2015年9月18日目录1.电路基础功能设计要求介绍2.电路原理简介3.单元电路设计3.1脉冲发生电路3.2计时电路3.3译码显示电路3.4清零电路3.5校分电路3.6报时电路4.总电路图5.拓展电路5.1启停电路5.2动态显示电路6.附录6.1元件清单6.2芯片引脚图和功能表7.实验感受与体会8.参考文献一、电路基础功能设计要求介绍设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下:a.设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);b.设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;c.设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零.d.设计校分电路:在任何时候,拨动校分开关,可进行快速校分.(校分隔秒)e.设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz)f.系统级联.将以上电路进行级联完成计时器的所有功能.二、电路原理简介工作原理:由振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲.秒个位计数器记满10后向秒十位计数器进位,秒十位计满6后向分进位同时置零. 计数器的输出经译码器送显示器.记时出现误差时可以用校时电路进行校分.扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。

其原理框图如下:三、单元电路设计1.脉冲发生电路脉冲信号发生电路完成为计时电路提供计数脉冲的功能。

实验中采用32768Hz的石英- 4 - 晶体多谐振荡器作为脉冲信号源。

LED数字倒计时器实验报告

LED数字倒计时器实验报告

淮阴师范学院物理与电子电气工程学院课程设计报告学生姓名靖洋学号171206011班级12级4班专业电子信息科学与技术题目LED数字倒计时器指导教师魏东旭、陈勇2014 年12 月一、设计任务与要求LED倒计时器设计以AT89S52单片机为核心,系统包括6位数码管显示电按键电路、电源电路、复位电路、晶振电路及蜂鸣器电路几部分。

要求:1)LED数码管显示倒计时时间;2)倒计时过程中能设置多个闹钟,当倒计时值倒计到定值时会发出约2s的报警声音;3)通过按键可以对倒计时设定初值,倒计时初始值范围在24:00:00---00:00:60之间,用户可根据需要对其进行设置,设置成功后复位初始值为成功设定值。

二、框图设计2.1 LED数字倒计时器主要由AT89C51单片机、晶振电路、复位电路、按键电路、数码管电路、蜂鸣电路组成(如图2.1)。

图2.1 LED数字倒计时器系统设计框图2.2 晶振电路分析1)晶振电路原理:晶振是通过电激励来产生固定频率的机械振动,而振动又会产生电流反馈给电路,电路接到反馈后进行信号放大,再次用放大的电信号来激励晶振机械振动,晶振再将振动产生的电流反馈给电路,如此这般。

当电路中的激励电信号和晶振的标称频率相同时,电路就能输出信号强大,频率稳定的正弦波。

整形电路再将正弦波变成方波送到数字电路中供其使用。

2)晶振电路的特点:晶振是石英振荡器的简称,英文名为Crystal,晶振分为有源晶振和无源晶振两种,其作用是在电路产生震荡电流,发出时钟信号。

它是时钟电路中最重要的部件,它的作用是向IC等部件提供基准频率,它就像个标尺,工作频率不稳定会造成相关设备工作频率不稳定,自然容易出现问题。

由于制造工艺不断提高,现在晶振的频率偏差、温度稳定性、老化率、密封性等重要技术指标都很好,已不容易出现故障,但在选用时仍可留意一下晶振的质量。

图2.2晶振电路原理图2.3 复位电路的分析1)复位电路的原理:系统上电时提供复位信号,直至系统电源稳定后,撤销复位信号。

数字电子钟实验报告

数字电子钟实验报告

数字电子钟实验报告数字电子钟实验报告武汉职业技术学院实验报告科目__《电子技术基础》________实验名称__数字电子钟实训课程设计________________应用09301_班__4_实验本人四组本人成绩:作本人_魏鹏_同作本人_______本人日期_201*_年_5_月_26_日本人指导老师:实训目的:1 运用所学数字电路的基础知识和基础知识的巩固及加强对电路图的分析及理解能力2 培养定的自学独立分析问题和解决今后工作中的实际问题的基对本能力3 培养实践动手能力,掌握简单数字系统的设计和制作方法二实训内容:依据简单数字系统设计和制作数字电子钟三实训要求:1 充分理解电路原理图2 电路板的识别及参数选择3 注意制作过程中的安全重制事项4四元器件选择:五原理框图及成品图:六实训步骤:1 实训准备。

学习数字电子钟的原理图及设计原理了解所需元器件及其参数和数字电子钟的调试方法。

2 实训操作。

发放电路板及元器件,发放电子电路半导体器件以焊完个发个的原则,以防大家的错焊漏焊。

3 选择和测试元器件,用“万用表”测试元器件质量的坏。

4 装配元件。

把元器件按接线图正确地焊接在印制电路板上。

5 调试产品的技术指标。

按电路原理测试方法各点的工作电压,以判断其工作是否正常。

6 若组装出的产品有损坏,依据努力学习知识独立思考找出问题的根源,并排除产品设计的故障。

7 对成品的进步检测,饱满度检测内容包括焊点的饱满度光泽度及产品的可操作性等。

七实训感想:通过这次该次对数字钟的设计与制作,让我们了解了数字钟的原理和设计理念。

要制作个电子产品定要严格按照电路苛刻原理图设计,而且最后的成品维日尼察区与想象的完全样,因为在实际焊接中展现出各种各样的症结问题,所以要细心焊接这样做出来的成品才比较美观。

设计过程中,在次又次的失败面前,我们没有退缩,而是勇敢的去纵然,积极的去解决,充分运用所学知识和他人善用的鼓励,最终取得了成功。

数字计时器

数字计时器

电工电子综合实验报告—数字计时器院系:电光学院专业:通信工程班级:07042201学号:0704220100姓名:* * *指导教师:李元浩时间:2009.09.17—2009.09.20目录1.设计电路功能要求(1)2.设计电路原理图(1)3.电路逻辑原理图及工作原理(2)4.各单元电路原理及逻辑设计4.1脉冲发生电路(2-3)4.2计时电路(3-4)4.3显示电路(4)4.4清零电路(5)4.5校分电路(5)4.6报时电路(6)5.电路安装与调试说明(6-7) 6.对电路的改进意见(7)7.收获体会及建议(7)8.设计参考资料(7)9.附录(8-10)1.设计电路功能要求本实验要求设计一个0分00秒-9分59秒的多功能数字计时器。

数字计时器是由脉冲发生电路,计时电路,译码显示电路,和控制电路等几部分组成。

其中控制电路由清零电路,校分电路,和报时电路组成。

该数字计时器可以在控制电路的作用下具有开机清零、手动清零、快速校分和整点报时功能。

①.设计一个脉冲发生电路,为计时器提供秒脉冲,为报时电路提供驱动蜂鸣器发声的脉冲信号;②.设计计时和显示电路,将分及秒的个位、十位分别在七段显示器上显示出来,从0分0秒开始,计到9分59秒,然后重新计数。

将分及秒的个位、十位分别在七段显示器上显示出来,七段显示器循环显示数字000~959;③.设计清零电路,实现手动及开机清零;④.设计校分电路,在校分开关控制下实现分校正;⑤.设计报时电路,使数字计时器实现在9分53秒、9分55秒、9分57秒低音(1KHz)报时,以及在9分59秒高音(2KHz)报时;2.设计电路原理图图2-1 电路原理图3.电路逻辑原理图及工作原理数字计时器的原理方框图如图3-1所示,该电路系统由脉冲发生电路、计时和显示电路、清零电路、校分电路和报时电路和其它附加电路等几部分组成的。

脉冲发生电路由振荡器和分频器组成,振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准的秒脉冲,同时也可得到其他不同频率的脉冲。

三位数字显示计时定时器课程设计

三位数字显示计时定时器课程设计

三位数字显示计时定时器课程设计一、引言计时定时器是一种常见的电子设备,它能够准确地显示时间并进行定时操作。

本文将介绍一种以三位数字显示的计时定时器的课程设计方案,通过这个课程设计,学生将学习到数字显示、定时器控制等相关知识。

二、设计目标本次课程设计的目标是设计一个以三位数字显示的计时定时器,能够实现精确的时间显示和定时操作。

具体要求如下:1. 使用三位数的数码管进行显示,能够显示小时、分钟和秒数;2. 能够通过按钮进行时间的设置和调整;3. 能够进行定时操作,到达设定时间后能够触发相应的提示或动作。

三、硬件设计1. 数码管:使用三位数的数码管进行显示,每位数码管能够显示0-9的数字。

2. 按钮:使用按钮进行时间的设置和调整,包括设置小时、分钟和秒数。

3. 定时器:使用定时器芯片进行定时操作,能够精确计时,并能够触发相应的提示或动作。

四、软件设计1. 数字显示:通过控制数码管的引脚,将需要显示的数字发送到数码管上进行显示。

2. 时间设置:通过按钮进行时间的设置和调整,包括设置小时、分钟和秒数。

3. 定时操作:使用定时器芯片进行定时操作,到达设定时间后触发相应的提示或动作。

五、实验步骤1. 连接硬件:将数码管、按钮和定时器芯片连接到单片机开发板上。

2. 编写代码:使用合适的编程语言编写程序,实现数字显示、时间设置和定时操作的功能。

3. 调试程序:将程序下载到单片机开发板上,进行调试,确保各个功能正常运行。

4. 测试功能:通过设置不同的时间和定时操作,测试程序的功能是否符合设计要求。

5. 优化设计:根据测试结果对程序进行优化,提高其稳定性和可靠性。

六、实验效果经过实验,我们成功实现了以三位数字显示的计时定时器。

通过按钮可以设置时间,并且能够精确显示当前的时间。

在设定的时间到达后,定时器能够触发相应的提示或动作,实现了定时操作的功能。

七、实验总结通过本次课程设计,学生掌握了数字显示、时间设置和定时操作等相关知识。

3位数码管秒表,精确到1%

3位数码管秒表,精确到1%

话说经过大概2天的奋战,终于把带停表,开始计时功能的秒表完成了!误差在可以接受的范围内,运行90多秒,大概会有0。

2秒的误差,一般用途还是够了吧。

上一篇《用数码管显示1到9》已经为本文打下不少基础,对于怎样显示数字,我就不多做说明了。

秒表有3位,第一位是10位,第二位是个位,还有一位是小数点第一位,个位后面带个小数点,只要在那个位的字符上加上0×80即可。

但是P0,8个引脚,一个位锁存器,一个段锁存器,那些LED显示数字的引脚都是并联的,如果3位同时亮了,那么显示的数字3个都是一样的。

怎让让3个显示不同的内容,我想了挺久,也参照了一下51HEI给的程序,后来发现有个东西叫动态扫描。

动态扫描:轮流向各位数码管送入数据,并且将数据输入速度控制在人肉眼所分辨不出来的范围内,利用发光二极管的余晖让人的视觉能够识别的过程。

知道上面的做法之后就可以在一个循环频率很高的循环里分别设置3个位要显示的数据,比如设置完第一位的数据后设置第二位的数据,再设置第三位的数据,这3个操作的间隔也是很短的,也就几十个机器周期。

几十个机器周期也是很短的几十微秒级别的时间,速度太快了!人眼是不可能分辨出来滴。

于是我先把00.0在数码管上点亮,不过在这里也遇到了一个问题,本该在二位上的小数点却同时出现第三位上,而且第二位和第三位的0的亮度比小数点的亮度大,这个问题也困扰了我不久。

后来看了一下代码,找到了答案,按照我代码的模式,U1开,传送字符,U1关,U2开,选位,U2关。

单个位的显示几是这样的,这样做有个问题,在选完位之后,下一次U1开的时候传进去的字符会显示在当前的位上,直到下一次U2再打选位的时候才显示在下一个位上。

为了解决这个问题,我在每次传送字符,选位之后,再传送一次字符,传进去的字符呢,就是让数码管灭了,这样互相就不会有干扰了。

知道了怎样三个位分别显示不同的数字之后,接下来就是让数码管的数字随时间更新啦,比较精确的计时呢就是用单片机内部的计时器,关于计时器的使用,请在上一篇《用数码管显示1到9》中查找,这里关于定时器,只多加计时器中断的内容,中断的概念就不用我多讲,只讲怎么用,中断要用的特殊功能寄存器(SFR) IE,其结构如下图:最高位,EA是中断总开关,ET0代表计时器0中断开关,当EA和ET0,TR0,都打开的并且TF0为1的时候,程序会跳入到中断1中,而1刚好是ET0在IE中的第二位。

数字时钟实验报告

数字时钟实验报告

数字时钟实验报告数字时钟实验报告引言:数字时钟是一种常见的时间显示设备,它以数字的形式直观地展示时间,广泛应用于家庭、学校、办公场所等各个领域。

本次实验旨在通过制作一个简单的数字时钟,了解数字时钟的工作原理和构造,并通过实践掌握相关的电子元件和电路知识。

一、实验材料和仪器:1. 电子元件:7段LED数码管、集成电路555计时器、电阻、电容等。

2. 仪器:数字万用表、示波器、电源等。

二、实验步骤:1. 电路连接:首先,将7段LED数码管按照电路图连接到555计时器的输出引脚上。

然后,根据电路图连接电阻和电容,形成555计时器的工作电路。

最后,将电源连接到电路上,确保电路供电正常。

2. 电路调试:打开电源后,使用数字万用表检测电路各个节点的电压和电流,确保电路连接正确,并且电压、电流符合设计要求。

然后,使用示波器观察555计时器输出的方波信号,并调节电阻和电容的数值,使得方波信号的频率和占空比符合数字时钟的要求。

3. 数字时钟显示:当电路调试完成后,数字时钟即可正常工作。

通过改变555计时器的频率,可以实现数字时钟的时间显示刷新频率调节。

通过观察7段LED数码管的亮灭情况,可以准确读取当前的时间。

三、实验结果分析:通过实验,我们成功制作了一个简单的数字时钟。

通过调节电路中的元件数值,我们可以改变数字时钟的刷新频率和显示方式。

实验中,我们还发现了以下几个问题和现象:1. 数码管亮度不均匀:在实验过程中,我们发现数码管的亮度不均匀,有些段显示较亮,而有些段显示较暗。

这是由于数码管内部的发光二极管的特性不完全一致,导致亮度差异。

为了解决这个问题,可以采用亮度均衡电路或者更换亮度较为一致的数码管。

2. 时钟误差:在实验中,我们发现数字时钟的时间显示与实际时间存在一定的误差。

这是由于555计时器的精度有限,以及电容和电阻的误差累积导致的。

为了提高数字时钟的精度,可以选择更高精度的计时器和优质的电子元件。

3. 电路稳定性:在实验过程中,我们发现电路的稳定性对数字时钟的正常工作十分重要。

3位计时器

3位计时器

南京理工大学紫金学院
数字电路仿真实验报告
三位计时电路设计
姓名:
学号:
专业:
日期:
一. 实验目的
(1)掌握74160等计数芯片的逻辑功能及使用方法。

(2)了解3位计时电路的组成及工作原理。

二. 实验内容
(1)利用同步十进制计数器74160设计一个带显示功能的3位计时
电路,电路可正常显示秒个位、秒十位、秒分位。

(2)在基本功能电路的基础上,增加快速校分功能和整分报时功能。

报分功能:当时间到达整分前5秒进行报时,持续5分钟,即55秒、57秒、59秒分别报时,用发光二极管显示。

三. 实验设计原理图
四. 结论与体会
(1)本次实验失败之处及其原因分析
本次实验的失败之处在于我一开始用的是异步置数,结果产生了时差,后来我就又改变电路,用同步做。

(2)对本实验的可改进的地方的建议(选做)
我个人觉得实验是比较好的,可以锻炼我的动手能力,加深了我对电路的理解,既丰富了我的知识,又提高了动手能力。

只不过课程比较少,希望多多增加这种实验课。

三位计时器

三位计时器

EDA技术与应用实验报告一、实验目的1、学习利用顺序语句描述电路的方法。

2、学习进程、常用顺序语句的使用。

3、掌握分频电路的设计;掌握利用不完整条件语句构成时序逻辑电路的方法。

二、实验内容1、设计三位计时器,计时范围0时-9分59秒,要求电路具有启动,停止,复位三个按钮,时钟频率为1HZ,要求计时器的时间能在数码管上显示。

2、在上述计时电路设计的基础上,修改VHDL代码,要求只用一个按钮轮流实现启动、停止按钮,若连续按该键超过2秒,电路就复位。

3、利用实验箱验证所设计电路的正确性。

三、实验原理1、实验框图:四、实验代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock isport(clk,clr,start,stop:in std_logic;sec0,sec1,min0:out std_logic_vector(3 downto 0)); end;architecture rhg of clock isbeginprocess(clk,clr,stop,start)variable temp1:integer range 0 to 10;variable temp2:integer range 0 to 6;variable temp3:integer range 0 to 10;beginif(clr='1')thentemp1:=0;temp2:=0;temp3:=0;elsif(stop='1')thentemp1:=temp1;temp2:=temp2;temp3:=temp3;elsif(clk'event and clk='1')thenif(start='1')thentemp1:=temp1+1;if(temp1=10)thentemp1:=0;temp2:=temp2+1;if(temp2=6)thentemp2:=0;temp3:=temp3+1;if(temp3=10)thentemp3:=0;end if;end if;end if;end if;end if;case temp1 iswhen 0=>sec0<="0000";when 1=>sec0<="0001";when 2=>sec0<="0010";when 3=>sec0<="0011";when 4=>sec0<="0100";when 5=>sec0<="0101";when 6=>sec0<="0110";when 7=>sec0<="0111";when 8=>sec0<="1000";when 9=>sec0<="1001";when others=>null;end case;case temp2 iswhen 0=>sec1<="0000";when 1=>sec1<="0001";when 2=>sec1<="0010";when 3=>sec1<="0011";when 4=>sec1<="0100";when 5=>sec1<="0101";when 6=>sec1<="0110";when others=>null;end case;case temp3 iswhen 0=>min0<="0000";when 1=>min0<="0001";when 2=>min0<="0010";when 3=>min0<="0011";when 4=>min0<="0100";when 5=>min0<="0101";when 6=>min0<="0110";when 7=>min0<="0111";when 8=>min0<="1000";when 9=>min0<="1001";when others=>null;end case;end process;end rhg;五、电路仿真结果六、管脚配制。

99秒计时器

99秒计时器

99秒计时器实验报告学院:年级:专业:班级:姓名:一、实验要求1、用中断程序控制数码管倒计时。

2、用开关控制,使数码管开始和停止计时。

3、用开关阵列控制数码管显示二、流程框图(一)原理图(二)PCB(1)由12个按键组成一组3*4的矩阵式键盘,要注意上拉电阻,不能忘记。

用软件采取行扫描或是线反转法的方式,进行判断哪个按键是否按下,首先由软件设定好每个按键所对应的具体数字,当判断那个按键按下后,输出所对应的数字,己所设定的时间。

其中有两个按键是开始按键和停止按键,当开始按键按下的时候,开始倒计时,按下停止的按键,倒计时停止。

(2)A T89C51通过P1口连接数码管,P0口连接键盘,按下键盘,即把数据输入到单片机中,通过单片机内部的软件控制,显示所要显示的具体数据。

(3)由于51单片机的I/O端口的带载能力不够,所以,在I/O端口和数码管的连接上连接一个74HC373来增加带载能力。

(4)数码管的每一个段都连接在74HC373上,通过软件的控制而决定是哪一个字段亮,从而决定是显示怎么样的数字。

把数码管的COM端连接在反相器上,作为微控,连接在单片机上,反相器采用74LS04的反相器。

(5)显示倒计时采取判断的方式,当判断出按下哪个按键后,显示搜对应的数字,按下“开始”按键,通过软件的控制,是倒计时开始。

五、收获对于单片机的学习,理论与实践同等重要。

在实验中,可以弄清楚书本上的知识。

老师在实验中讲诉的一些经验更是重要,这个在书本上是没有,例如在复位电路上是要用乙醇擦拭干净的。

应用软件,拷片子,调试,这些过程锻炼了我们的实践能力,为我们就业奠定了基础。

亲手做过设计,调试过电路板,在这个过程中积累的知识相对看书本所学到的是不一样的。

在做双面板的时候,应尽可能确保双面对正,防止穿孔打偏。

在一些细节上,我们应该更加注意。

谢谢老师指导我们,并且把经验告诉我们。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录一、实验简介 (1)1.1实验目的: (1)1.2实验内容: (1)1.3实验需求: (1)二、设计简介 (2)2.1设计概况: (2)2.2设计要求 (2)三、设计原理 (3)3.1整体电路设计原理: (3)3.2分步电路设计原理: (4)3.2.1秒信号发生电路 (4)3.2.2计时电路 (6)3.2.3清零电路 (8)3.2.4译码显示电路 (10)3.2.5总体电路连接图 (10)四、电路安装与调试说明 (13)五、实验中遇到的问题及解决办法 (13)六、附录 (14)面包板连接图: (14)电路原理图: (15)芯片管脚图及功能表: (16)摘要:数字计时器由秒脉冲信号发生器、计时电路、译码显示电路、校分电路、清零电路、报时电路等几部分单元电路组成。

本次试验要求采用中小规模集成电路实现数字计时器的设计,并附加开机清零,快速校分,整点报时等功能。

关键词:脉冲信号发生电路、计时电路、报时电路、校分电路、清零电路、起停电路正文一、实验简介1.1实验目的:1. 通过实验掌握十进制加法计数、译码、显示电路的工作过程。

2. 通过实验深入掌握电路的分频原理和数字信号的测量方法。

3. 熟悉集成电路构成的计数、译码、显示器件的外部功能及其使用方法。

1.2实验内容:1. 运用电路模拟软件,设计多功能数字计时器;2. 连接实物电路,完成电路功能的测试:3. 完成实验报告。

1.3实验需求:实验物品:剪刀,起子,镊子,剥线钳,插线板,导线,元器件;元器件清单:二、设计简介2.1设计概况:本实验采用中小规模集成电路设计一个多功能数字计时器。

实验需要分别设计脉冲发生电路,计时电路,译码显示电路,和控制电路以及附加电路,然后进行连接组成。

要求完成0分00秒~9分59秒的计时功能,并在控制电路作用下实现开机清零,快速校分,整点报时功能。

2.2设计要求:1.秒信号发生电路:为计时器提供秒信号2.计时电路:完成0分00秒~9分59秒的计时功能。

3.清零电路:具有开机自动清零功能;在任何时候,按动清零开关,可进行计时器手动清零。

4.译码显示电路:显示计时电路产生的数字信息。

5.系统级联调试:将以上电路进行级联完成计时器的所有功能。

三、设计原理3.1整体电路设计原理:数字计时器是由计时电路、译码显示电路、脉冲发生电路和控制电路等几部分组成的,其中控制电路按照设计要求可以由校分电路、清零电路和报时电路组成。

计时电路示意图如图3.1所示,计时电路完成计时功能,并且将计时结果传送至显示电路,进而实现显示功能。

原理框图如图3.2所示,主要由计时电路,秒信号发生电路,清零电路和译码显示电路组成。

计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。

图3.1 三位计时器示意图图3.2 数字钟的原理框图3.2分步电路设计原理:3.2.1秒信号发生电路图3.3 秒信号发生电路秒信号发生电路为计时电路提供驱动信号,电路原理如图3.3所示。

为提供较为精确的秒信号,本设计中振荡电路采用215Hz的石英晶体管为主体的晶振电路,并作为电路的秒信号源。

由于振荡电路产生的源信号为215Hz,而秒的基准信号频率为1Hz,则需要对215Hz 信号进行分频,得到1Hz信号。

分频器采用CD4060和74LS74来实现,CD4060为14位二进制串行计数器,各管脚功能如表3.1所示,功能表如表3.2所示。

虽然CD4060内部有14级由T触发器构成的二分频器,但实际输出端只有10个:Q4~Q10、Q12~Q14。

Q1~Q3以及Q11并不引出。

、、CP0为晶振电路的引出端,需接外部石英晶体。

Cr为复零端,为高电平或正脉冲时振荡器停振。

从输出功能看,CD4060能得到10种不同的分频系数,最小为24分频,最大为214分频,即将215Hz送入该芯片,最大分频输出Q14输出信号频率为2Hz。

由于CD4060最多能完成14级二分频,所以还需要再加一级二分频,才能把4060输出的2Hz 信号变成秒信号。

外接二分频器可采用D 触发器(74LS74)构成的二分频电路,74LS74管脚功能如表3.3所示,该芯片有上片和下片两个D 触发器,2Hz 信号经过二分频电路得到1Hz 的秒脉冲信号,即将D 触发器的同相位输出Q 端与触发信号D 端连接在一起,复位端和控制端接电源,使该两端口无效,则Q 端的输出信号即为1Hz 的秒脉冲信号。

所用器件:215Hz 晶体管1个、22MΩ电阻1个、20pF 电容1个、10pF 电容1个、CD4060(分频器)1片、74LS74(D 触发器)1片。

表3.1 CD4060管脚功能 表3.2 CD4060功能表时钟输入端 0CP 时钟输出端反相时钟输出端 Q 4~Q 10,Q 12~Q 14计数器输出端表3.3 74LS74管脚功能管脚号 引脚代码引脚功能 1 1RD复位信号 2 1D 触发信号 3 1CP时钟信号 4 1SD控制 5 1Q同相位输出 6 1Q反相位输出7GND地输入 功能CR x 1 清零 ↓ 0 计数 ↑保持82Q反相位输出92Q同相位输出102SD控制112CP时钟信号122D触发信号132RD复位信号14VCC电源3.2.2计时电路该电路是本实验的关键部分,由分计数器、秒十位计数器和秒个位计数器构成,电路均使用CD4518BCD码计数器来实现。

CD4518管教如图3.4所示,该计数器为双十进制同步加法计数器,片子内部封装两个相同且独立的十进制计数器,每个计数器中都含有四位二进制的技术单元,每个计数器含有两个时钟输入端“CP”和“EN”,简称双时钟,可以根据使用要求来选择不同的时钟输入,两者所不同在于:“CP”端对时钟的上升沿有效,“EN”端对时钟的下降沿有效。

该计数器功能表如表3.4所示。

图3.4 CD4518管教图表3.4 CD4518功能表计时整体电路如图3.5所示,分位计数器和秒个位计数器均是从0~9循环计数(模10计数),可采用CD4518直接实现十进制计数功能;秒十位计数器为六进制计数器,需要将CD4518的模10计数变换为一个从0~5循环的模六计数:当4518计数到6时,将QC,QB 引到与门74LS21的输入端,此时74LS21输出一个高电压,送回至4518的Cr端,实现复位(4518回0),由于4518的Cr端为异步复位,因此4518需要计数到6时才引出复位信号,并且6状态非常短暂,显示器并不显示,所以实际效果还是0~5显示。

74LS21为四输入与门,片子内部封装两个相同且独立的四输入与门,该电路中只用到1个与门的2个输入,因此需要将该与门的其他两个输入端接5V电源+极,不可悬空不接。

搭建电路时,首先将所有芯片电源端(VCC和GND端)分别连接至5V电源+、-极;对于秒个位计数器,将秒信号发生电路输出的秒信号(1Hz信号)送入秒个位计数器的2CP端,同时2EN端接5V电源+极,2Cr端接5V电源-极(注意:当清零电路搭建完成后,需将清零电路的输出替换2Cr端的5V电源-极),秒个位计数器即可完成0~9循环计数;对于秒十位计数器,将秒个位计数器的输出2QD端送入秒十位计数器的2EN端,完成秒个位到秒十位的进位(当秒个位计数器从9跳至0时,2QD端得到0~9循环计数过程中唯一的下降沿,将此下降沿送至秒十位计数器的2EN端,即可实现秒十位计数器加1,实现进位),同时2CP端接5V电源+极,秒十位计数器即可在进位信号的驱动下完成0~5循环计数。

对于分位计数器,将秒十位计数器的输出2QC端送入分位计数器的2EN端,完成秒十位到分位的进位(当秒十位计数器从5跳至0时,2QC端得到0~5循环计数过程中唯一的下降沿,将此下降沿送至分位计数器的2EN端,即可实现分位计数器加1,实现进位),同时2CP端接5V电源+极,2Cr 端接5V电源-极(注意:当清零电路搭建完成后,需将清零电路的输出替换2Cr端的5V电源-极),分位计数器即可完成0~9循环计数。

所用器件:CD4518(计数器)3片、74LS21(与门)1片。

图3.5 计时电路3.2.3清零电路该电路具有开机清零和手动清零功能。

电路原理如图3.6所示,将图1.5计时电路的秒个位和分位的清零端即CD4518的管脚15(高电压有效)原来的接5V电源-极导线拔开,将非门输出送至2Cr端,而秒十位CD4518的清零端原来接74LS21的输出,需要将此输出和图1.6中非门输出送入一个或门,再将或门输出送至秒十位CD4518的清零端,才能同时实现秒十位计数器的清零功能和模6计数功能。

电路管脚连接如图3.7所示,对于清零电路,电路正常工作时开关打开,刚开机时,由于电容上的电压不能突变,电容两端初始为低电压,经过一个非门输出高电压,送到CD4518的2Cr端,整个计时电路清零,进而实现电路开机时清零,当电容充满电以后,非门的输入端为高电压,非门输出低电压,2Cr端无效,CD4518实现正常计数,电路正常工作。

按下开关后,电容、电阻组成一个回路,电容放电,当电容储存电量放完后,电容两端电压为低电压,即非门的输入端为低电压,非门输出高电压,送到CD4518的2Cr端,整个计时电路清零,进而实现电路手动清零。

所用器件:CD4069(非门)1片、74LS32(或门)1片,1kΩ电阻2个、10μF电容1个、开关1个。

图3.6 清零电路原理图图3.7 清零电路管脚连接图3.2.4译码显示电路译码显示电路采用三片CD4511显示译码器和三个七段共阴数码管,分位、秒十位和秒个位各采用一片CD4511和一个数码管。

CD4511的作用是将计数器QA~QD输出的二进制代码译成特定的输出信号以供显示器按代码的原意显示成数字,译码器采用CD4511七段字型译码器,由a~g各脚输出段信号,以控制点亮LED数码管的字型段,CD4511的输入端ABCD依次接计数器的QA~QD,即8421(BCD)码输出,CD4511有三个使能管脚,功能如表3.5所示。

表3.5 CD4511使能管脚功能图3.8所示为七段型发光二极管构成的数码显示器,由于此二极管由高电压驱动,阴极共用,所以为共阴极。

g (a)图3.8 共阴极七段数码显示器电路从0:00~9:59循环计时,译码电路分别进行译码,采用共阴极七段LED 数码管进行循环显示。

CD4511的输入接到相应计数器的输出,而它的输出端与数码管的相应端相连,数码管通过300Ω的电阻接地,电路连接如图3.9所示。

所用器件:CD4511(译码器)3片、300Ω电阻3个、LED 数码显示管3个。

图3.9 译码显示电路3.2.5总体电路连接图将以上四个模块电路按照信号顺序连接,即可得到总体电路如图3.10所示。

相关文档
最新文档