电工电子实验报告(多功能数字计时器设计)

合集下载

电工电子综合实验Ⅱ-电子计时器电路设计实验报告-优秀

电工电子综合实验Ⅱ-电子计时器电路设计实验报告-优秀
2.分电路原理及设计过程………………………………………10
(1)脉冲发生电路………………………………………10 (2)计时电路……………………………………………11 (3)译码显示电路………………………………………12 (4)校分电路……………………………………………13 (5)清零电路……………………………………………15 (6)整点报时电路………………………………………16 七、 实验总逻辑电路图………………………………………17 八、 电子计时器引脚接线图…………………………………18 九、 创新设计及实验总结……………………………………19
1Cr
U3 CD4518
BCD 码加法计数 保持 BCD 码加法计数 保持
6. CD4511(译码器)
f1
g1
a1
b1
c1
d1
Vdd
e1 Vss
~LI
~BI
U4 CD4511
LE
B
C
D
图 6.
CD4511 引脚布局图
表 6. 逻辑功能表 输入 功能 试灯 消隐 锁存 译码 错码
LT
A
输出 B A X 0 X a b c d e f g 1 1 1 1 1 1 1 0 0 0 0 0 0 0 原态不变 正确译码 错误或消隐
6
5. CD4518(BCD 码十进制计数器)
Vdd 2Cr 2Qd 2Qc 2Qb 2Qa 2EN 2CP Vss
1CP
1EN
1Qa
1Qb
1Qc
1Qd
图 5. 表 5. 功能 CR 清零 计数 保持 计数 保持 1 0 0 0 0 输入 CP × ↑ ↑ 0 1
CD4518 引脚布局图 CD4518 逻辑功能表 输出 EN × 1 0 ↓ ↓ QD 0 QC 0 QB 0 QA 0

电工电子实验报告

电工电子实验报告

电工电子实验报告电工电子综合实验(U)实验报告—多功能数字计时器摘要设计搭建一个多功能数字计数器,从0分0秒开始计时, 计到9分59秒后自动清零。

具有计时、清零、校分、整点报时的基本功能和闹钟、秒表的附加功能。

显示电路使用的是动态显示方式,即只使用一片译码器外加较高频率的时钟控制电路来实现数码管的“同时”显示功能AbstractDesig n a multi-purpose digital register which candisplay the time . It starts from 0 mi nute 0 sec on ds, countsafter 9 minute 59 sec onds automatic clear zero.The clock has the basic functions of clearing,minute-correcting, beeping in the sharp hour and thestopwatch. We use dyn amic display circuit to display, n amelyrealiz ing display ing in the same time using one en coder and ahigh freque ncy.目录设计目的二. 设计要求三. 实验原理四. 单元电路设计及其电路图1信号发生电路2、计时电路3、显示电路4、清零电路5、校分电路6、报时电路五. 附加电路六. 实验感想七. 实验中遇到的问题八. 附录1、工具及器件清单2、各元件的引脚图及功能表3、总电路逻辑图4、参考文献、实验目的1.掌握常见集成电路实验单元电路的设计过程。

2.了解各单元再次组合新单元的方法。

二. 设计要求(1)设计一个脉冲发生电路,为计时器提供秒脉冲,为报时电路提供驱动蜂鸣器发声的脉冲信号;(2)设计计时和显示电路,完成0分00秒至59分59秒的计时和显示功能;(3)设计清零电路,具有开机自动清零的功能,并在任何时候,按动清零开关,就可以实现计时器清零;(4)设计校分电路,在任何时候,按下校分开关,可以进行快速校分;(5)设计报时电路,使数字计时器从59分53秒开始报时,每隔2秒发一声,共发三声低音,一声高音;[即59分53秒、59分55秒、59分57秒发低音(频率为1KH0 ,59分59 秒发高音(频率为2KHZ ];(6)可增加数字计时器附加功能,例如数字计时器定时功能、电路启停功能、电路采用动态显示功能。

数字钟实验报告--多功能计时电路的设计

数字钟实验报告--多功能计时电路的设计

电类综合实验报告题目:多功能计时电路的设计--数字钟姓名:马冯生学号:114116001203学院:材料学院专业:材料加工工程指导:电子技术中心完成时间:2015年3月24日目录一、引言二、实验目的三、实验设计要求四、实验原理及框图五、单元电路设计及其电路六、实验中遇到的问题及解决方法七、心得体会八、元器件清单一.引言随着数字技术在仪表和通信系统中的广泛应用,数字钟已经应用到生活的方方面面,而数字钟的功能也随着人们要求的提高在不断的增加,同时在数字技术的快速发展下,功能也越来越强大。

数字钟能够比传统的时钟更加精确的进行计时,并且能够实现多种显示。

在调节方面,能够内嵌许多诸如报时、万年历、彩铃等计时以外的功能。

本设计在介绍数字钟工作原理的基础上,运用数字集成技术,来设计实现一个多功能数字钟。

二.实验目的1、通过实验掌握十进制加法计数、译码、显示电路的工作过程。

2、通过实验深入掌握电路的分频原理和数字信号的测量方法。

3、熟悉集成电路构成的计数、译码、显示器件的外部功能及其使用方法。

三.实验设计要求1、秒信号发生电路:为计时器提供信号。

2、及时电路:完成0分00秒-9分59秒的计时功能。

3、清零电路:具有开机自动清零功能;在任何时候,按动清零开关,可进行计时器手动清零。

4、译码显示电路:显示计时电路产生的数字信息。

5、系统级联调试:将以上电路进行级联完成等计时器的所有功能。

四.实验原理及框图图1 三位计时器示意图数字钟示意图如图1所示,计时电路完成计时功能,并将计时结果传送至显示电路,进而实现显示功能。

原理框图如图2所示,主要由计时电路、秒信号发生电路、清零电路和译码电路组成。

计时电路在秒信号的作用下,产生0:00~9:59的循环计时,清零电路控制计时电路的清零端,实现时钟的清零,最终将计时电路的输出送至译码显示电路,实现时钟的显示。

图2 数字钟的原理框图五.单元电路设计及其电路1、秒信号发生电路图3 秒信号发生电路秒信号发生电路为计时电路提供驱动信号,电路原理如图3所示。

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告

多功能数字钟电路设计实验报告实验目的:设计一个多功能数字钟电路,能够显示当前时间,并具备闹钟、秒表和计时等功能。

实验原理:1. 数码管显示:使用4位共阴极数码管进行显示,采用BCD码方式输入。

2. 按键输入:使用按键进行时间的调节和选择功能。

3. 时钟频率:使用晶体振荡器提供系统时钟,通过分频电路控制时钟频率。

实验器材:1. 4位共阴极数码管2. 按键开关3. 74LS90分频器4. 时钟晶体振荡器5. 耐压电容、电阻等元件6. 电路连接线实验步骤:1. 连接电路:根据电路原理图,将数码管、按键开关、74LS90分频器、晶体振荡器等连接起来,注意接线正确。

2. 编写程序:根据实验要求,编写相应的程序,实现时钟、闹钟、秒表和计时等功能。

3. 调试电路:将电路通电并运行程序,观察数码管的显示情况和按键功能是否正常。

4. 测试功能:分别测试多功能数字钟的时钟、闹钟、秒表和计时等功能,确保功能正常。

5. 完善实验报告:根据实验结果和观察情况,完善实验报告,并附上电路原理图、程序代码等。

实验结果:经过调试和测试,多功能数字钟电路能够正常显示时间,并具备时钟、闹钟、秒表和计时功能。

使用按键进行时间调节和功能选择,数码管根据不同功能进行相应的显示。

实验总结:通过本次实验,我掌握了多功能数字钟电路的设计原理和实现方法,并且了解了数码管显示、按键输入、时钟频率控制等相关知识。

实验过程中,我发现电路连接正确性对功能实现起到关键作用,同时合理编写程序也是确保功能正常的重要环节。

通过实验,我对数字电路的设计和实现有了一定的了解,并且培养了动手实践和解决问题的能力。

数字电子计时器实训报告

数字电子计时器实训报告

一、实训目的1. 掌握数字电子计时器的基本原理和设计方法;2. 熟悉数字电路中常用元器件的性能和应用;3. 提高动手实践能力,培养团队协作精神。

二、实训环境1. 实训设备:数字电路实验箱、示波器、信号发生器、万用表等;2. 实训软件:Proteus仿真软件、Keil编译器等;3. 实训时间:2周。

三、实训原理1. 数字电子计时器主要由时钟信号源、计数器、译码显示电路等组成;2. 时钟信号源提供稳定、精确的时钟信号,通常采用石英晶体振荡器;3. 计数器对时钟信号进行计数,实现计时功能;4. 译码显示电路将计数器的输出信号转换为可显示的数字,通常采用LED数码管或LCD液晶显示屏。

四、实训过程1. 设计与仿真(1)根据设计要求,确定计时器的工作原理和电路结构;(2)在Proteus仿真软件中搭建电路,包括时钟信号源、计数器、译码显示电路等;(3)对电路进行仿真测试,确保电路功能正常。

2. 电路搭建与调试(1)根据仿真电路,在数字电路实验箱上搭建实际电路;(2)连接电源,检查电路连接是否正确;(3)使用示波器观察时钟信号,确保时钟信号稳定;(4)使用万用表测量计数器输出,检查计数器是否正常工作;(5)调整电路参数,确保译码显示电路显示正确。

3. 功能测试与优化(1)按设计要求进行功能测试,包括计时、清零、复位等功能;(2)检查计时精度,确保计时器准确计时;(3)优化电路,提高计时器的稳定性和可靠性。

五、实训结果1. 成功搭建数字电子计时器电路,实现计时功能;2. 计时器计时精度较高,满足设计要求;3. 电路稳定可靠,抗干扰能力强。

六、实训总结1. 通过本次实训,掌握了数字电子计时器的基本原理和设计方法;2. 熟悉了数字电路中常用元器件的性能和应用;3. 提高了动手实践能力,培养了团队协作精神;4. 发现了在设计过程中存在的问题,并进行了优化,提高了电路性能。

本次实训使我对数字电子计时器有了更深入的了解,为今后从事相关领域的工作奠定了基础。

电工电子综合实验数字计时器设计-实验报告

电工电子综合实验数字计时器设计-实验报告

电工电子综合实验数字计时器设计实验报告实验目的:通过设计数字计时器,学会了解、掌握数字电路设计方法,加深了对时序逻辑电路、数字电路逻辑设计的理解。

同时通过实验,掌握了数字计时器的实际应用。

实验设备:1. 数字逻辑实验箱2. 7400、7402、7474、7447、555、7490、74138 等集成电路3. 面包板4. 丝印PCB板5. 电路图绘图软件6. 万用表7. 示波器实验原理:数字计时器是一种可以按照一定规律进行计数的仪器。

数字计时器要求计数精度高,计数速度快,计数范围广,易于操作等特点。

其基本实现原理是将高低电平不断切换写入计数器,计数器对输入的高低电平进行计数。

实验步骤:1.搭建基本框架电路(a) 用7400 NAND 双输入门和555计时器构成高电平宽度为1s 的方波输出脉冲。

(b)通过7402 NOR门和7474锁存器控制计数器数据输入端。

(c)接上两个7490模数计数器,构成0-99计数器。

(d)加上LED数码管和BCD-7定码器,组成数字显示模块。

2.绘制电路原理图(a)按照以上搭建步骤绘制电路原理图。

(b)尽量做到简明、清晰。

3.电路测试(a)连接电路后,使用万用表检测电路各组成部分是否正常。

(b)接上示波器,检测计数器时序是否正确、脉冲是否稳定、脉冲宽度是否正确。

(c)通过手动输入不同数字,测试数字显示模块是否正常。

实验结论:通过以上实验,我们成功设计出了数字计时器电路,并对其进行了测试。

该计时器具有较高的计数精度,计数速度快,计数范围广,易于操作等优点,可以广泛应用于各种计时场合。

同时,通过实验,我们深入了解了时序逻辑电路和数字逻辑电路设计原理及应用,进一步增强了我们的实践能力和创新思维。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

电子电工实验报告——数字计时器

电子电工实验报告——数字计时器

南京理工大学电子电工综合实验(Ⅱ)--数字计时器实验报告专业:通信工程班级:9141042202姓名:许雪婷学号:9141133702082016年09月目录一、实验目的、要求及内容;二、器件引脚图及功能表;三、各单元电路的原理、设计方法及逻辑图;四、数字计时器电路引脚接线图;一、 实验目的、要求及内容1.实验目的① 掌握常见集成电路实现单元电路的设计过程。

② 了解各单元再次组合新单元的方法。

2.实验要求实现00’00”—59’59”的可整点报时的数字计时器。

3.实验内容① 设计实现信号源的单元电路。

(KHz F Hz F Hz F Hz F 14,5003,22,11≈≈≈≈) ② 设计实现00’00”—59’59”计时器单元电路。

③ 设计实现快速校分单元电路。

含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。

④ 加入任意时刻复位单元电路(开关K2)。

⑤ 设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。

二、器件引脚图及功能表元件清单:集成电路:NE555 一片,CD4040 一片,CD4518 二片,CD4511 四片,74LS00 三片,74LS20 一片,74LS21 三片,74LS74 一片。

电阻:1KΩ一只,3KΩ一只,150Ω四只。

电容:0.047uF 一只。

LED共阴双字屏二块。

1、NE555图1-1 NE555引脚图图1-2 NE555逻辑功能表2、CD4040图2-1 CD4040引脚图图2-2 CD4040功能表3、CD4518图3-1 CD4518引脚图图3-2 CD4518功能表4、CD4511图2-1 CD4511引脚图图2-2 CD4511逻辑功能表5、74LS0074LS00是一种集成了4个与非门的集成电路。

图5-1 74LS00引脚图图5-2 与非门逻辑表6、74LS2074LS20同样是一种与非门集成电路,与74LS00不同的是它的每个与非门有4个输入端。

南京理工大学电工电子实验报告(多功能数字计时器设计)

南京理工大学电工电子实验报告(多功能数字计时器设计)

南京理工大学电工电子实验报告(多功能数字计时器设计)1. 电路功能设计要求介绍2. 电路原理简介3. 单元电路设计3.1 脉冲发生电路3.2 计时电路3.3 译码显示电路3.4 清零电路3.5 校分电路3.6 仿电台报时电路4.总电路图5.电路调试和改进意见6.实验中遇到的问题、出现原因及解决方法7.实验体会8.附录8.1 元件清单8.2 芯片引脚图和功能表9.参考文献1.电路功能设计要求1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下:1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);12)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。

4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。

(校分隔秒)5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz);6)系统级联。

将以上电路进行级联完成计时器的所有功能。

7)可以增加数字计时器附加功能:定时、动态显示等。

2. 电路原理简介数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。

其原理框图如下:3. 单元电路设计3.1 脉冲发生电路振荡器是数字钟的核心。

采用石英晶体构成振荡器电路,产生稳定的高频脉冲信号,作为数字钟的时间基准,再经过分频器输出标准秒脉冲(1HZ)。

分频器的功能主要有两个:一是产生标准秒脉冲(1HZ)。

二是提供功能扩展电路所需驱动脉冲信号(1KHZ、2KHZ)。

15 采用晶体的固有频率为32768HZ=2HZ。

2CC4060、74LS74电路图如下所示:2Q5Q4Q143.2 计时电路CC4518(分位、秒个位)、74LS161(秒十位)“0”“1”“o”“0”3.3 译码显示电路译码器 CC4511 显示器共阴LED七段字型数码管 33.4 清零电路3.5 校分电路3.6 仿电台报时电路44.总电路图Q5Q42Q145.电路调试和改进意见先接显示电路,显示电路接完,接入电源,当三个数码管都能正常显示8的时候说明接入正确。

多功能计时器设计报告

多功能计时器设计报告

多功能计时器设计报告一、引言多功能计时器是一种常见的电子设备,能够用于各种场景中的计时功能,如厨房中的烹饪计时、运动场上的比赛计时等。

它不仅能提供精确的计时功能,还能根据用户需求提供多种定时和提醒功能。

本设计报告将详细介绍多功能计时器的功能、硬件设计以及软件设计。

二、多功能计时器的功能需求1.计时功能:提供从1秒到24小时的计时功能,能够显示倒计时时间或者当前计时时间,并能精确到毫秒级。

2.定时功能:能够设置定时开关功能,例如定时闹铃功能,在预定时间到达时触发报警器。

3.计次功能:能够记录计时的次数并显示。

4.报警功能:能够提供声音或者光提示以提醒用户计时完成或者时间到达。

5.显示功能:能够清晰显示计时器的各项参数,包括当前时间、计时时间、计次次数等。

三、多功能计时器的硬件设计1.主控芯片:使用低功耗、高性能的单片机作为主控芯片,例如STM32系列。

2.显示屏:使用液晶显示屏作为主要显示界面,能够清晰显示计时器的各项参数。

3.蜂鸣器:用于提供报警功能,能够发出清晰的声音以提醒用户。

4.按钮:用于用户操作,包括启动计时、暂停计时、重置计时等。

5.电源:使用电池供电,以方便携带和使用。

四、多功能计时器的软件设计1.系统初始化:在开机时进行系统初始化,包括设置计时参数、显示界面初始化等。

2.计时功能设计:包括开始计时、计时暂停、计时重置等功能。

3.定时功能设计:允许用户设置定时时间和计时时间到达时的操作。

4.计次功能设计:记录计时次数并在显示屏上显示。

5.报警功能设计:设定报警时间到达时发出声音或者光提示。

6.显示功能设计:在液晶显示屏上实时显示计时参数,包括计时时间、定时时间、计次次数等。

五、多功能计时器的测试与改进1.功能测试:对多功能计时器进行各项功能的测试,如计时、定时、报警、显示等功能的正确性测试。

2.性能测试:对多功能计时器的性能进行测试,如计时准确性、显示清晰性等。

3.用户测试:邀请用户测试多功能计时器的易用性和实用性,并根据用户反馈进行改进。

电工电子综合实验数字计时器设计 实验报告

电工电子综合实验数字计时器设计 实验报告

数字计时器设计实验报告自动化学院电子信息工程专业指导:电子技术中心目录一,实验目的二,实验仪器三,设计内容简介四,设计原理五,分部电路图及原理六,电路安装与调试七,实验中遇到的问题及解决方法八,心得体会九,附录一.实验目的1、掌握常见集成电路的工作原理和使用方法,学会单元电路的设计方法。

2、锻炼学生的分析问题解决问题的能力以及设计电路和动手组装电路的能力。

二.实验仪器电源发生器,三用表,示波器,镊子,剪刀三.设计内容简介1、设计一个脉冲发生电路,为计时器提供脉冲、为报时电路提供驱动蜂鸣器的脉冲信号;2、设计计时电路,完成 0分00秒—9分59秒计时功能;3、设计报时电路,使数字计时器从9分53 秒开始报时,每隔两秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1KHZ),9分59秒发高音(频率2KHZ);4、设计校分电路,在任何时候,拨动校分开关,可进行快速校分;5、设计清零电路,具有开机自动清零功能,并且在任何时候,按动清零开关,可以进行计时器清零;6、系统级联调试,将以上电路进行级联完成计时器的所有功能;四.设计原理数字计时器是一个对标准频率(1Hz)进行计数的计数电路。

由于计数的起始时间与所需要的起点可能会不相同,所以需要在电路上加一个校分电路,以便将分时刻跳到想要的时刻(这也是为什么校分电路先于蜂鸣电路的原因,这样做节省了很多时间)。

为了使标准的1Hz时间信号准确并且稳定,实验中我们使用了石英晶体振荡器构成脉冲发生电路。

为了使电路更加简单,实验中我们使用了一片CD4518的集成块对计时器的秒的个位和分的十位进行计数,用74LS161构成模六(六进制)计数器实现对秒的十位进行计数,当低位计数器计满10时向高位产生一个脉冲信号,触发高位计数器计数。

由于所使用的计数器都有异步清零端,故可通过简单的电路就可以使电路具有开机清零功能和随时清零功能。

五. 分部电路图及原理(1) 脉冲电路脉冲电路为计时电路提供频率为1Hz 的秒脉冲计数信号,可以用石英晶体振荡器32768和分频器cc4060构成。

电工电子综合实验-数字计时器设计

电工电子综合实验-数字计时器设计

电工电子综合试验——数字计时器实验报告专业:班级:学号:姓名:指导老师:实验室:完成时间:一、设计电路功能要求............................................................... - 2 -二、设计电路总体原理框图 ....................................................... - 3 -三、电路工作原理简述............................................................... - 3 -四、各单元电路原理及逻辑设计................................................ - 4 -1.脉冲发生电路 ................................................................................. - 4 -2.计时电路和显示电路...................................................................... - 4 -3.报时电路......................................................................................... - 5 -4.较分电路......................................................................................... - 6 -5.清零电路......................................................................................... - 7 -五、引脚图及真值表................................................................... - 7 -六、电路安装及调试说明 ......................................................... - 11 -七、收获体会及建议................................................................. - 11 -八、总体原理电路 .................................................................... - 12 -九、元器件清单 ........................................................................ - 12 -十、设计参考资料 .................................................................... - 13 -一、设计电路功能要求设计一个数字计时器,可以完成0分00秒~9分59秒的计时功能,并在控制电路的作用下具有开机清零、快速校分、整点报时功能。

数字计时器

数字计时器

电工电子综合实验报告—数字计时器院系:电光学院专业:通信工程班级:07042201学号:0704220100姓名:* * *指导教师:李元浩时间:2009.09.17—2009.09.20目录1.设计电路功能要求(1)2.设计电路原理图(1)3.电路逻辑原理图及工作原理(2)4.各单元电路原理及逻辑设计4.1脉冲发生电路(2-3)4.2计时电路(3-4)4.3显示电路(4)4.4清零电路(5)4.5校分电路(5)4.6报时电路(6)5.电路安装与调试说明(6-7) 6.对电路的改进意见(7)7.收获体会及建议(7)8.设计参考资料(7)9.附录(8-10)1.设计电路功能要求本实验要求设计一个0分00秒-9分59秒的多功能数字计时器。

数字计时器是由脉冲发生电路,计时电路,译码显示电路,和控制电路等几部分组成。

其中控制电路由清零电路,校分电路,和报时电路组成。

该数字计时器可以在控制电路的作用下具有开机清零、手动清零、快速校分和整点报时功能。

①.设计一个脉冲发生电路,为计时器提供秒脉冲,为报时电路提供驱动蜂鸣器发声的脉冲信号;②.设计计时和显示电路,将分及秒的个位、十位分别在七段显示器上显示出来,从0分0秒开始,计到9分59秒,然后重新计数。

将分及秒的个位、十位分别在七段显示器上显示出来,七段显示器循环显示数字000~959;③.设计清零电路,实现手动及开机清零;④.设计校分电路,在校分开关控制下实现分校正;⑤.设计报时电路,使数字计时器实现在9分53秒、9分55秒、9分57秒低音(1KHz)报时,以及在9分59秒高音(2KHz)报时;2.设计电路原理图图2-1 电路原理图3.电路逻辑原理图及工作原理数字计时器的原理方框图如图3-1所示,该电路系统由脉冲发生电路、计时和显示电路、清零电路、校分电路和报时电路和其它附加电路等几部分组成的。

脉冲发生电路由振荡器和分频器组成,振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准的秒脉冲,同时也可得到其他不同频率的脉冲。

电子电工实习报告计时器

电子电工实习报告计时器

电子电工实习报告计时器一、实习目的及意义电子电工实习报告计时器的制作旨在让实习生在实践过程中,更好地理解和掌握电子电工的基本知识和技能。

通过完成计时器的设计与制作,实习生能够将所学的理论知识与实际操作相结合,提高动手能力,培养创新意识和团队协作精神。

此外,实习报告计时器还有助于实习生对电子电工行业的发展趋势和实际应用有更深入的了解。

二、实习内容及过程1. 实习内容(1)学习电子电工基本原理,掌握电子元器件的功能和用途。

(2)学习电路图的阅读和绘制,能够独立完成简单的电路设计。

(3)学习焊接技能,熟悉各种焊接工具的使用方法。

(4)学习编程,掌握一种或多种编程语言,如C语言、汇编语言等。

(5)设计和制作电子电工实习报告计时器,完成相关功能模块的编程和调试。

2. 实习过程(1)第一阶段:学习电子电工基本原理和电子元器件知识。

在这个阶段,实习生需要通过查阅资料、请教老师和同学,掌握电子电工的基本概念和元器件的功能。

(2)第二阶段:学习电路图的阅读和绘制。

实习生需要学会阅读电路图,理解电路原理,并能独立绘制简单的电路图。

(3)第三阶段:学习焊接技能。

在这个阶段,实习生需要掌握焊接工具的使用方法,学会焊接各种电子元器件,为后续的实习报告计时器制作打下基础。

(4)第四阶段:学习编程。

实习生需要学习一种或多种编程语言,如C语言、汇编语言等,为实习报告计时器的编程和调试做好准备。

(5)第五阶段:设计和制作实习报告计时器。

实习生需要根据所学知识,设计并制作实习报告计时器,完成功能模块的编程和调试。

三、实习收获及反思通过本次电子电工实习,我收获颇丰。

首先,我掌握了电子电工的基本知识和技能,如电子元器件的功能、电路图的阅读和绘制、焊接技能等。

其次,我学会了编程,能够独立完成简单的程序设计。

最后,我在实践过程中培养了团队协作精神和创新意识。

然而,在实习过程中,我也发现了自己的不足之处。

例如,在电路设计和编程过程中,我发现自己在理论知识方面还有待加强。

多功能数字时钟实验报告

多功能数字时钟实验报告

重庆交通大学开放性实验报告(A类)项目名称:多功能数字钟电路设计专业班级:电子2班学生姓名:***小组成员:何聪、范瑞目录多功能数字时钟设计 (3)摘要 (3)1.系统原理框图 (4)2.单元电路设计与仿真 (5)2.1时间脉冲产生电路 (5)2.2时间计数器电路 (6)2.3 十二与二十四小时的切换 (8)2.4校时电路 (8)2.5报时电路 (9)2.6电路总图 (9)3.PCB板的制作 (10)3.1 原理图的绘制 (10)3.2 PCB的制作 (11)3.3 PCB图 (12)4.心得与体会 (12)附录原件清单 (13)附件1 仿真电路图........................................... 错误!未定义书签。

附件2 电路原理图........................................... 错误!未定义书签。

附件3 PCB图................................................ 错误!未定义书签。

多功能数字时钟设计摘要数字电子钟实际上是一个对标准频率(1Hz)进行计数的计数电路。

由振荡电路形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。

秒计数器电路计满60 后触发分计数器电路,分计数器电路计满60 后触发时计数器电路,当计满24 小时后又开始下一轮的循环计数。

一般由振荡电路、计数器、数码显示器等几部分组成。

振荡电路:主要用来产生时间标准信号,由NE555 组成的多谐振电路产生,但是因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以一般采用石英晶体振荡器。

分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。

计数器:有了“秒”信号,则可以根据60 秒为 1 分,24 小时为1 天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60 进制,60 进制,24 进制计数器,并输出一分,一小时的进位信号。

电子电工实训报告数字钟

电子电工实训报告数字钟

一、前言随着科技的不断发展,电子技术在国民经济的各个领域得到了广泛应用。

作为电子信息时代的重要基础,电子电工技术的重要性不言而喻。

为了提高学生的实际操作能力和对电子电工技术的理解,我们开展了数字钟的实训课程。

本文将对本次实训过程进行总结和反思。

二、实训目的1. 熟悉数字钟的工作原理和电路结构。

2. 掌握数字钟的元件选择、焊接、调试和故障排除方法。

3. 培养学生团队合作精神和动手实践能力。

4. 提高学生对电子电工技术的认识和兴趣。

三、实训内容1. 数字钟电路原理讲解数字钟是一种电子计时设备,主要由石英晶体振荡器、计数器、译码器、显示器等组成。

本次实训所使用的数字钟电路主要由以下部分组成:(1)石英晶体振荡器:产生稳定的频率信号;(2)计数器:对时间进行计数;(3)译码器:将计数器输出的信号转换为显示器可显示的信号;(4)显示器:显示时间;(5)校时电路:对时间进行校准。

2. 元件选择与焊接根据电路原理图,选择合适的元件,如:石英晶体振荡器、计数器、译码器、数码管、电阻、电容等。

焊接时,注意焊接质量,确保电路连接可靠。

3. 电路调试连接好电路后,对数字钟进行调试。

首先检查电路连接是否正确,然后调整石英晶体振荡器的频率,使数字钟正常计时。

在调试过程中,注意观察各元件的工作状态,发现问题及时解决。

4. 故障排除在实训过程中,可能会遇到各种故障,如:计时不准确、显示不正常等。

针对这些问题,分析故障原因,采取相应的措施进行排除。

常见的故障及解决方法如下:(1)计时不准确:检查石英晶体振荡器的频率是否稳定,调整频率;(2)显示不正常:检查数码管是否损坏,更换新的数码管;(3)电路连接错误:仔细检查电路连接,确保连接正确。

四、实训总结1. 通过本次实训,我们了解了数字钟的工作原理和电路结构,掌握了数字钟的元件选择、焊接、调试和故障排除方法。

2. 在实训过程中,我们学会了团队合作,共同解决遇到的问题,提高了动手实践能力。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电工电子
综合实验报告
题目:多功能数字计时器设计
姓名:
学号:
班级:
院系:
专业:
目录
1.电路功能设计要求介绍
2.电路原理简介
3. 单元电路设计
3.1 脉冲发生电路
3.2 计时电路
3.3 译码显示电路
3.4 清零电路
3.5 校分电路
3.6 仿电台报时电路
4.总电路图
5.电路调试和改进意见
6.实验中遇到的问题、出现原因及解决方法
7.实验体会
8.附录
8.1 元件清单
8.2 芯片引脚图和功能表
9.参考文献
1.电路功能设计要求
1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下:
1)设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣器的高低脉冲信号(1KHZ、2KHZ);
2)设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能;
3)设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计时器进行手动清零。

4)设计校分电路:在任何时候,拨动校分开关,可进行快速校分。

(校分隔秒)
5)设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz);
6)系统级联。

将以上电路进行级联完成计时器的所有功能。

7)可以增加数字计时器附加功能:定时、动态显示等。

2. 电路原理简介
数字计时器由计时电路、译码显示电路、脉冲发生电路、校分电路、清零电路和报时电路这几部分组成。

其原理框图如下:
3. 单元电路设计
3.1 脉冲发生电路
振荡器是数字钟的核心。

采用石英晶体构成振荡器电路,产生稳定的高频脉冲信号,作为数字钟的时间基准,再经过分频器输出标准秒脉冲(1HZ)。

分频器的功能主要有两个:一是产生标准秒脉冲(1HZ)。

二是提供功能扩展电路所需驱动脉冲信号(1KHZ、2KHZ)。

采用晶体的固有频率为32768HZ=215HZ。

CC4060、74LS74 电路图如下所示:
Q14
Q5
Q4
2
3.2 计时电路
CC4518(分位、秒个位)、74LS161(秒十位)
“o”“0”
“1”
“0”
3.3 译码显示电路
译码器CC4511 显示器共阴LED七段字型数码管
3.4 清零电路
3.5 校分电路
3.6 仿电台报时电路
4.总电路图
2
Q4 Q5 Q14
5.电路调试和改进意见
先接显示电路,显示电路接完,接入电源,当三个数码管都能正常显示8的时候说明接入正确。

然后接显示电路,接入显示电路后,在电路中加入外接脉冲,每个位数都能正常计数,秒个位和分个位分别从0到9,秒十位是接在16进制芯片上,应显示0到E,但A到
E数码管不能显示,只有当进位电路接入之后,成为6进制电路,能显示0到5的数字。

下面接脉冲发生电路,电路接入之后,无需外加脉冲,电路能自动计数。

再接清零电路,当清零电路启动时,电路能自动清零。

再接校分电路,接入后能控制分个位从0到9。

最后接报时电路,电路接入后,启动能在规定的时间点上报时。

6.实验中遇到的问题、出现原因及解决方法
当计时电路接完之后,接入电源和脉冲,电路不能正确计时。

检查后发现芯片的使能端没有接完整,经过查功能表,将使能端接入电路之后,电路能正常工作。

7.实验体会
初次做这个实验,我和搭档手足无措,一点头绪也没有,但是在老师的提示下按照原理图依次安装了显示器,译码器及计数器,并认真考虑了布线问题,接好了线,面包板上初步具有了模型。

有了好的开始接下来就很顺利了,我们把原理图和各个部件的功能表弄懂记住,每天都按步骤做完了当天的任务,遇到问题时及时向同学和老师求助,最终用了三天时间很顺当的完成了实验。

本次试验使我将学到的书本知识应用于实践,加深了我对知识的理解,培养了我的动手能力,学会了与搭档共同讨论解决遇到的问题,分享问题被解决、实验完成的喜悦!
8.附录
8.2 芯片引脚图和功能表
1)四位二进制计数器74LS161 引脚图
U2
741LS61
C r
C P
A
B
C
D
S 0
G N D
~L D S 1
Q d
Q c
Q b
Q a
Q c c
V c c
引脚图
U3CD4518
1C P
1E N
1Q a
1Q b
1
Q c
1Q d
1C r
V s s
2C P 2E N
2Q a
2Q b
2Q c
2Q d
2C r
V d d
引脚图
U4
CD4511
B
C
~L I
~B I
L E
D
A
V s s
e 1d 1
c 1
b 1
a 1
g 1
f 1
V d d

1 1 0 4
1 1 1 5
1 1 0 6
1 1 1 7
1 1 1 8
1 1 1 9 4)与非门74LS00
引脚图
功能表
A B Y=非(AB)
0 0 1
0 1 1
1 0 1
1 1 0
5)与门
引脚图
功能表
A B Y=AB
0 0 0
0 1 0
1 0 0
1 1 1
6)非门74LS04
引脚图
功能表
A Y=非A
0 1
1 0
7)或门74LS32
引脚图
功能表
A B Y=A+B
0 0 0
0 1 1
1 0 1
1 1 1
9.参考文献
[1] 马鑫金编.电子技术实验指导书.南京:南京理工大学,2006年
[2] 蒋立平编著.数字电路.南京:南京理工大学翻印
[3] 李银华等编著.电子线路设计指导.北京:北京航空航天大学出版社,2005年
[4] 王建新,姜萍编著.电子线路实践教程.北京:科学出版社,2003年
[5] 王俊峰,安家文,吕宽州等编著.电工与电子技术实验教程,2006年。

相关文档
最新文档