电子密码门锁设计重庆大学计硬机课程设计

电子密码门锁设计重庆大学计硬机课程设计
电子密码门锁设计重庆大学计硬机课程设计

计算机硬件技术基础课程设计

课题名称:电子密码门锁设计

专业:自动化专业

班级:

组长:

组员:

组员:

指导老师:胡青

重庆大学自动化学院

2016年9月

1 基本功能要求

1.1 主要内容:

设计一个密码门锁系统,以扬声器作为发声装置,以4*4键盘作为功能按键,根据预设的密码对门锁实现安全控制。只有密码完全符合才能开门,密码不符时控制扬声器发声报警。在计算机硬件实验系统基础上,自行完成扬声器模块的设计。

1.密码为6位,输入密码可以开门或修改密码。

2.用LED显示门的当前状态,以及当前功能状态。

3.按下开门键,LED显示“输入密码”提示信息,用户输入密码后,若正确

则门打开,按下关门键或打开5秒后关门。若密码错误则三色LED用红色显示“密码错”提示信息后,要求用户重新输入密码,3次错误后三色LED 红绿交错显示错误提示信息,并且扬声器同时发声报警,按下返回键返回。

4.若按下设置键,LED显示“输入密码”提示信息,用户输入密码,若正确

则LED显示”修改密码“提示信息,要求用户输入新开门密码,按确认键保存新密码后返回,按返回键则放弃修改直接返回。若错误处理规则与开

门相同。

2 组员分工

赵秋帆:开门和设置程序的编写,编写主程序将其他子程序整合以及整体调试。

李华东:硬件连线和8259部分中断程序、三色LED子程序的编写。

刘志君:8254部分硬件连线及程序的编写、相关例题的查询以及实验报告的撰写。

3 硬件设计

3.1 器件选择

实验箱上的5片可编程并行I/O口接口芯片8255A,1片可编程定时/计数器8254,1片中断控制器8259(A),6位8段共阴极LED,两个LED小灯以及三色共阳极LED和蜂鸣器。

3.2 器件功能分配设计

1.4片固定可编程并行I/O口接口芯片8255A控制三个LED显示错误信息

2.扩展可编程并行I/O口接口芯片8255A控制8段共阴极LED显示信息,

同时控制扫描键盘。

3.可编程定时/计数器8254完成关门定时,扬声器报警频率信号。

4.中断控制器8259(A)控制中断。

5.扬声器完成报警。

3.3 硬件连线

根据前面的分析以及器件功能分配,进行硬件连线

地址:可编程并行I/O口接口芯片8255A接300H

可编程定时/计数器8254接320H

中断控制器8259A接340H

接线:

1.可编程定时/计数器8254计数器0 CLK接频率信号47KHZ ,GATE接

+5V, OUT接计数器

1的CLK

2.可编程定时/计数器8254计数器1 CLK接计数器0的OUT口,GATE

接+5V, OUT接8259IRQ0

3.可编程定时/计数器8254计数器2 CLK接频率信号3MHZ, GATE接

+5V,OUT接扬声器信号输入端

4.扩展可编程并行I/O口接口芯片8255AA口接LED段选,B口接LED

位选,b0接开关状态LED灯,b1接功能状态LED小灯,C口高四位

接键盘列信号,低四位接键盘行信号

5.中断控制器8259(A)INT1接INTR,SP/1接+5V,IRQ0接8254计数

器1OUT

6.蜂鸣器信号接8254计数器2OUT

硬件连线原理图如图

图3.3.1 8254定时/计数器原理图

图3.2.2 键盘接口原理图

图3.3.3 三色LED原理图

图3.3.4 8259原理图

4 软件设计

经过分析,电子密码门锁设计程序分两大部分。其一,开门功能程序,流程图如图2.2.1;其二,修改密码程序,流程图如图2.2.2。使用可编程并行I/O 口接口芯片8255A控制6位8段共阴极LED显示提示信息和输入的密码、控制键盘扫描以及控制两个LED小灯分别显示功能状态和开关门状态;使用可编程定时/计数器8254完成计时5S和蜂鸣器信号生成;使用中断控制器8259A控制计时5S后完成自动关门与三色LED红绿交替功能,使用三色LED提示错误。

以下分别为开门程序流程图和设置程序流程图:

图4.1.1 开门程序流程图

图4.1.2设置程序流程图

5 使用说明

3.1 功能及显示说明

1.系统初始密码为000000(密码只能是6位);

2.密码可以由0~9组成;

3.开门5S后,自动返回关门状态;

4.左侧LED小灯:功能指示灯,亮表示开门功能状态,灭表示设置功能状态;

5.右侧LED小灯:开关门状态指示灯,亮表示打开,灭表示关闭;

6.提醒输入密码显示为:INPUT;

7.提醒修改密码显示为:CHANGE;

8.提示密码错误显示为:Error;

9.密码错误三色LED显示为:Error(红色);

10.错误三次三色LED显示为:Error (红绿交替 );

11.错误三次蜂鸣器报警。

3.2 操作说明

1.A键---待机界面时进入开门功能;

2.B键---待机界面时进入设置功能;

3.E键---返回键,开门后,按下返回键返回关门状态

4.F键---确定键,显示提醒时,需按确定键继续;

输入密码后,按确认键确认

提示密码错误,需按确认键重新输入;

报警后,需按确认键返回关门状态。

6 结果显示

在实验板上结果显示

根据题目的要求,通过调试运行程序,得到了各种情况如下:(1)关门状态显示INPUT,如下图6.1.1

图6.1.1 关门状态显示情况

(2)输入密码状态显示,结果如图4.1.2

图6.1.2 输入密码状态显示情况

(2)开门状态显示,结果如图6.1.3

图4.1.3 开门状态显示

(3)改密码界面显示CHANGE,结果如图6.1.4

图6.1.4 改密码界面显示

(5) 密码输错三次,三色LED交替闪烁,结果如图6.1.5

图6.1.5 输错三次密码

7 总结

7.1 赵秋帆(20134692)心得体会:

赵秋帆(20134692)心得体会:这次课程设计中,我主要负责开门功能和设置功能的实现,并编写主函数,将其他成员编写的子函数整合在一起,在这次课程设计中,遇到了不少难点,比如,在实现“开门后5秒后关门,或者按关门键关门”该功能中,实现起来就颇为费劲,首先因为按键盘关门,有一个扫描的问题,在扫描程序中,如果不按键,就会一直扫描,没法跳出扫描程序段,就更没法执行5秒关门这一指令,于是,在这里使用了定时中断的方法,利用8254定时5秒,触发中断,使一自定义标志位置1,在按键扫描的程序中加入判断该标志位是否为1,为1则直接跳出扫描程序段,从而解决键盘扫描子程序不按键不可跳出的问题,使得“开门后5秒后关门,或者按关门键关门”该功能得以实现。再比如实现“显示开门或设置的功能状态和门的开关状态”这一功能时,原本是打算,将该两个状态的显示合二为一,一同显示在LED上,后来发现此举不太妥当,一方面因为,不能实时显示门的开关状态以及开门或者设置功能的状态,像显示“输入密码”或是“修改密码”之类需要占用LED显示的时候,就无法显示。另外一方面因为,这样所表示出来门的状态,实际上是比较虚假的,只是取了3个静止状态来表示门的状态:1.开门功能,关门状态2.开门功能,开门状态3.

设置功能,关门状态。而门的开关状态,应该是独立出来,这样才更加符合实际。因此我们在这问题的解决上,使用了2个小灯,其中1盏的亮灭代表开门和设置状态,另外一盏的亮灭代表门的开关状态。但是这样后,便有了新的问题,没有足够的输出口供使用,我们唯一能找到的输出口,只有控制LED6位位选的B 口所剩下了2个输出口A1和A0,而要使用A1,A0,且使A1,AO独立起来,就必须在程序里面下功夫,使LED在正常显示的同时,且不影响A1,A0位。为了解决这一问题,我设置了一个名为LEG的存储单元,用来保存A1,A0的状态,在每个需要LED显示的地方,先将LEG的值赋予AL,再用或运算来控制前面六位的位选,从而达到LED正常显示,且不影响A1,A0的目的。

通过这次课程设计,我更加熟练地掌握了硬件基础的知识,复习了8254,8259,8255以及4*4键盘、单色LED、三色LED等硬件的使用,在这次课设中,我们

遇到了不少困难,但都在小组的共同努力下,逐一解决,使我们虽然辛苦,却乐在其中。

7.2 李华东(20134678)的心得体会:

刚拿到这次课程设计的题目乍看了一下感觉并不难,刚有点松懈下来,结果到了实验室却发现这次实验大方向虽然简单,但是其中充满了复杂的小细节,在程序编写过程中也多次让我们小组的成员们绞尽脑汁。其中最突出的就是用led 来显示提示信息,这本来是一个很简单的任务,在去年课程的学习中我们也对此驾轻就熟,但是到了实战却发现有好多我们想用的字母都拼不出来,为了避免引起界面混乱,我们不得不重新更改了我们的计划,添加了两个led小灯作为指示灯来显示工作状态与门的开关。这虽然加大了我们的工作量,但是却在理论上简化操作界面。但是难题又接踵而至——输出接口不够用了,在上述情况下我们的8255的a口用于段选,b口用于位选,c口用于接键盘,那么仅有的两个输出口就只能从位选上省,但是在led显示程序上位选的扫描显示程序又会影响到led 小灯的暗灭。在我们百思不得其解的时候,组里的大神灵机一动,想出了先将寄存器数值(如10000000)与一个00000011再或一个01000000,保护了最后两位不受位选扫描的影响,这种解决问题的方法给了我很大的启发。这之后我们小组一路过关斩将,排除了一个个问题,终于将课设圆满完成。这其中也不乏各种难题,比如8259a中断部分程序的编写就给我造成了很大的困扰,在连续看了一天书之后我终于把它搞懂并完成了程序的编写。

总的来说,这次课程设计使我们熟悉了以前学过的知识,也是我们逐渐的掌握了一些思考方法以及提升了部分技能,同时,团队协作能力也得到了提升,收获不小,也让自己对未来增加了一些自信心。这次的课程设计我对为指令的设计和流程都有了更深的理解,进一步熟悉了计算机硬件技术原理的基本知识,同时也加深了解了模块化程序设计的基本过程,掌握了一些基本的软硬件设计知识并对其进行了基本的运用,在模块化程序设计中运用了很多知识,以及几块芯片8255、8254、8259来实现计数器、定时器、查询的功能等,即实现了对以往所学内容的复习,也让自己更加对他们的实际运用能力得到了增强,使自己比以前的动手能力与对课题的思考能力得到了增强。

通过实践,提高了我的实际操作能力,从以前所学理论上升到实践,真正做

到了学有所用,同时也认识到了自己的不足之处,对转移指令、芯片工作方式等都不是很熟悉,但是自己受益匪浅。

7.3 刘志君(20134679)的心得体会:

以前上微机课的时候,因为自己的不重视,我都不知道,硬件到底是什么,用来做什么,怎样实现。当理论和实际发生隔阂,不知道怎样将学的枯燥无味了理论知识融入实际中,这种学习当然会十分苦恼,以至于学习激情大打折扣,所以对硬件连接和汇编语言掌握得一塌糊涂,这也使得这次课程设计一直跟不上组员的节奏。因此我只能尝试着完成组长赵秋帆交给我的一些简单的工作,同时边做边向他们请教。在程序的编写和调试方面我一直是出于半懵状态,在组长对各种状况想方设法进行解决的时候,虽然我并没有能力去给出什么决定性的建议,但是我也还是强迫自己尽可能的加入,尽可能的提出自己的见解。而在这半学习半时间的过程中,我也是学到了很多以前被抛却脑后的硬件连接和汇编知识。

虽然现在让我独自去完成这个课程设计我照样会感到困难,但是课程设计工作中的一部分事情我却相信自己可以做到很好了,比如说8255模块的硬件连接以及8254部分相关程序的编写。现在的我也理解了八段LED位选和段选的具体含义,位选用来控制几个LED灯的显示,而段选则控制这些LED灯显示的内容,也就是各笔画数码管的亮灭。虽然是很基础的知识,但是对于理解硬件连接和数据写入有很大的帮助。

总之,这次实践,补习了我理论课漏掉的知识,提高了我的实际操作能力,从以前所学理论上升到实践,真正做到了学有所用,同时也认识到了自己的不足之处,并且意识到所学理论知识的重要性,这虽然只是一次课程设计,却也是对以后工作的映射,理论学习是工作时间的基础,所以万万不可忽视。而且课程设计可以体现出团队合作程度,紧密合作加上明确分工,能够大大地提高设计速度,在动手设计之前,最好将设计所需要的数据,程序准备好,为了判断设计结果是否正确,有必要在准备阶段计算理论值。仔细分析指令的每一位,以及理清思路,在设计时做到胸有成竹。

8 附录

8.1 程序清单

.486

CODE SEGMENT USE16

ASSUME CS:CODE

ORG 1000H

BEGIN: JMP START

SHOWINPUT DB 06H,37H,73H,3EH,31H,00H ;显示输入密码

SHOWCHANGE DB 39H,76H,77H,37H,7DH,79H ;显示修改密码

SHOWERROR DB 79H,50H,50H,5CH,50H,00H ;显示错误

SHOWSTOP DB 40H,40H,40H,40H,40H,40H ;显示横杠

INPUTWAT DB 40H,40H,40H,40H,40H,40H ;输入密码暂存

PASSWORD DB 3FH,3FH,3FH,3FH,3FH,3FH ;密码储存

PASSWORD1 DB 3FH,3FH,3FH,3FH,3FH,3FH ;密码备份

PASSNUM DB 6 ;输入密码计数标志

CHECKF DB 0 ;密码检测标志

ERRORNUM DB 3 ;错误计数

OTCOUT DB 0 ;开门后关门程序跳出标志

KEYZHI DB 0

LEG DB 1

;**********************************************************主程序开始START: LEA SI,INPUTWAT

CALL CLR ;清除输入密码存储单元

MOV DX,20CH ;四片8255初始化

MOV EAX,80808080H

OUT DX,EAX

MOV DX,30CH ;扩展8255控制字,AB 输出,C高出低入

MOV AL,81H

OUT DX,AL

MOV DX,32CH ;8254计数器0初始化工作在方式2下

MOV AL,34H

OUT DX,AL

MOV DX,32CH ;8254计数器1初始化工作在方式0下与计数器0级联计时5S

MOV AL,50H

OUT DX,AL

MOV DX,32CH ;8245计数器2初始化工作在方式3下

MOV AL,10110110B

OUT DX,AL

MOV DX,340H ;初始化8259 写ICW1

MOV AL,000010011B

OUT DX,AL

MOV DX,348H ;写ICW2中断类型号为40H~47H

MOV AL,40H

OUT DX,AL

MOV DX,348H ;写ICW4

MOV AL,01H

OUT DX,AL

MOV DX,348H ;写OCW1,开放所有IR MOV AL,00H

OUT DX,AL

CLI

;填开门后关门跳出中断向量

MOV DS,AX

MOV BX,4*40H

MOV AX,OFFSET INTOTCOUT

MOV [BX],AX

MOV AX,SEG INTOTCOUT

MOV [BX+2],AX

;**********************************************************开门功能程序

OPENDOOR: AND LEG,11111101B ;功能指示灯亮

MOV DX,304H

MOV AL,LEG

OUT DX,AL

OSHOWINP: LEA BX,SHOWINPUT ;显示输入密码提示,按下F 确认

CALL SHOWANDKEY

CMP CL,0B7H

JZ SETTING

CMP CL,77H

JNZ OSHOWINP

PAA: LEA SI,INPUTWAT

CALL CLR

PA0: MOV PASSNUM,06H ;输入密码,按下D键可以消除上一次输入,按下E键可以重新输入,输入完成后按下F键确认LEA SI,INPUTWAT

PAL: LEA BX,INPUTWAT

CALL SHOWANDKEY

JMP PA

PASSN: LEA BX,INPUTWAT

CALL SHOWANDKEY

PA: CALL KEYTOSHOW

INC SI

DEC PASSNUM

JNZ PASSN

PA3: CALL SHOWANDKEY

CMP CL,77H

JZ PA2

JMP PA3

PA2: MOV PASSNUM,06H

CALL CHECK ;调用密码匹配

CMP CHECKF,01H

JNZ PASSER

PASSRI: AND LEG,11111110B

MOV DX,304H ;功能指示灯亮

MOV AL,LEG

OUT DX,AL ;密码正确,开门指示灯亮,按下E键或者5秒后关门

STI

CALL OPENTOCLOSE

CALL SHOWANDKEY

CMP CL,7BH

JNZ PASSRI

CLI

OR LEG,00000001B

MOV ERRORNUM,3

JMP START

PASSER: CALL LER3

MOV DX,328H ;计数器2报警解除计数初值

MOV AX,0

OUT DX,AL

MOV AL,AH

OUT DX,AL

JMP START

;************************************************************设置功能程序

SETTING:MOV DX,304H ;功能指示灯灭OR LEG,00000010B

MOV AL,LEG

OUT DX,AL

SSHOWINP:LEA BX,SHOWINPUT ;提示输入密码 CALL SHOWANDKEY

CMP CL,0BBH

JZ OPENDOOR

CMP CL,77H

JNZ SSHOWINP

PBB: LEA SI,INPUTWAT

CALL CLR ;输入密码

PB0: MOV PASSNUM,06H ;按下D键可以消除上一次输入,按下E键可以重新输入,输入完成后按下F键确认

LEA SI,INPUTWAT

PBL: LEA BX,INPUTWAT

CALL SHOWANDKEY

JMP PB

PASSN1: LEA BX,INPUTWAT

CALL SHOWANDKEY

PB: CALL KEYTOSHOW

INC SI

DEC PASSNUM

JNZ PASSN1

PB3: CALL SHOWANDKEY

CMP CL,77H

JZ PB2

JMP PB3

PB2: MOV PASSNUM,06H

CALL CHECK ;调用密码匹配

CMP CHECKF,01H

JNZ PASSERL

PASSRI1: ;密码正确,提示修改密码,按下F继续

LEA BX,SHOWCHANGE

CALL SHOWANDKEY

CMP CL,77H

JNZ PASSRI1

PCC: LEA SI,PASSWORD1

CALL CLR ;输入新密码

PC0: MOV PASSNUM,06H ;按下D键可以消除上一次输入,按下E键可以重新输入,输入完成后按下F键确认

LEA SI,PASSWORD1

PCL: LEA BX,PASSWORD1

CALL SHOWANDKEY

JMP PC

CHANGE: LEA BX,PASSWORD1

CALL SHOWANDKEY

PC: CALL KEYTOSHOW

INC SI

DEC PASSNUM

JNZ CHANGE

PC3: CALL SHOWANDKEY

CMP CL,77H

JZ YESNO

JMP PC3

YESNO: LEA BX,PASSWORD1

CALL SHOWANDKEY ;按下E键取消修改并返回

CMP CL,7BH

JZ NO

YES: CMP CL,77H ;修改成功,按F确定并返回

JNZ YESNO

LEA BX,PASSWORD1

LEA SI,PASSWORD

MOV AH,0

CLEAR1: MOV AL,AH

XLAT

MOV BYTE PTR[SI],AL

INC SI

INC AH

CMP AH,06H

JNZ CLEAR1

NO: JMP START

PASSERL:CALL LER3

MOV DX,328H ;计数器2报警解除计数初值

MOV AX,0

OUT DX,AL

MOV AL,AH

OUT DX,AL

LEA SI,INPUTWAT

CALL CLR

JMP START

CLR PROC NEAR

MOV AL,6

INPUTWATL:MOV BYTE PTR [SI],40H

INC SI

DEC AL

JNZ INPUTWATL

RET

CLR ENDP

SHOWANDKEY PROC NEAR

SHOWSTATEL: MOV AH,00H

MOV KEYZHI,80H ;位选

SHOWSTATEL1:MOV AL,AH

XLAT

MOV DX,300H

OUT DX,AL ;送段

MOV DX,304H

MOV AL,LEG

OR AL,KEYZHI

OUT DX,AL ;送位选

ROR KEYZHI,1

INC AH ;位选向右移位KSCANL: MOV CL,0EFH ;按键扫描NXTCOLL:MOV AL,CL

MOV DX,308H

OUT DX,AL

IN AL,DX

AND AL,0FH

CMP AL,0FH

JNZ FNDKEYL

ROL CL,1

JC NXTCOLL

CMP OTCOUT,1

JNZ LL

MOV CL,7BH

MOV OTCOUT,0

RET

LL: CMP AH,06H

JZ SHOWSTATEL

JMP SHOWSTATEL1

FNDKEYL:AND CL,0F0H ;键特征值形成OR CL,AL

CALL SHOWHENG

CALL DELY

KEYUPL: MOV AL,0 ;按键防抖动MOV DX,308H

OUT DX,AL

IN AL,DX

AND AL,0FH

CMP AL,0FH

JNZ KEYUPL

RET

基于51单片机的无线电子密码锁课程设计[优质文档]

单片机课程设计报告书 基于89C51单片机 课题名称 无线电子密码锁的设计姓名刘武 学号131220330 学院通信与电子工程学院 专业电子信息工程 指导教师祝秋香讲师 2015年12月20日

基于89C51单片机无线电子密码锁的设计 1 设计目的 (1)了解MCS-51单片机使用方法和熟悉蓝牙模块; (2)掌握AT89C51芯片的使用方法及蓝牙模块的连接与使用; (3)熟悉单片机程序仿真软件Proteus的使用; (4)了解MCS-51单片机开发板工作原理以及芯片的组合; (5)熟悉无线电子密码锁的设计及实现。 2设计思路 2.1 选择设计电路 设计51单片机与蓝牙连接的电路。 2.2 所选设计电路的原因 (1)由于无线电子密码锁的设计需要用到蓝牙模块,用到的单片机资源并不是很多,而51单片机的资源有8位CPU、4KB的ROM、128B的RAM、2个16位定时/计数器、4组8位的I/O、1个串口和5个中断源,可以更有效率的利用到51单片机的资源。 (2)可现实无线功能的模块有:蓝牙模块、无线模块、红外遥控模块,因为相对之下,蓝牙模块更容易实现,更容易操作,最后选择蓝牙模块实现手机远程控制。 (3)为了提高效率,可以利用51单片机开发板的硬件,用LED灯模拟密码锁的开关,当LED灯亮起时代表锁已经开启,熄灭则代表密码锁被关闭。应用在实际中可用继电器替代LED的亮灭去控制强电开关。 3 设计过程 3.1 设计总框图 无线电子密码锁分为两个主要功能模块:51单片机模块,蓝牙实现模块。这两个模块共同工作完成本电路的功能实现。其中利用AT89C51芯片来实现51单片机模块功能,完成数码管、发光二极管的实现,同时利用HC06蓝牙模块来

有限元程序课程设计

重庆大学本科学生课程设计任务书 课程设计题目有限元程序设计 学院资源及环境科学学院专业工程力学年级2010级 已知参数和设计要求: 1.独立完成有限元程序设计。 2.独立选择计算算例,并能通过算例判断程序的正确性。 3.独立完成程序设计报告,报告内容包括理论公式、程序框图、程序本 体、计算算例,算例结果分析、结论等。 学生应完成的工作: 1.复习掌握有限单元法的基本原理。 2.掌握弹性力学平面问题3节点三角形单元或4节点等参单元有限元方法 的计算流程,以及单元刚度矩阵、等效节点载荷、节点应变、节点应力 和高斯积分等的计算公式。 3.用Fortran语言编写弹性力学平面问题3节点三角形单元或4节点等参 单元的有限元程序。 4.在Visual Fortran 程序集成开发环境中完成有限元程序的编辑和调试 工作。 5.利用编写的有限元程序,计算算例,分析计算结果。 6.撰写课程设计报告。 目前资料收集情况(含指定参考资料): 1.王勖成,有限单元法,北京:高等教育出版社,2002。 2.O.C. Zienkiewicz, R. L. Taylor, Finite Element Method, 5th Eition, McGraw-Hall Book Company Limited, 2000。 3.张汝清,董明,结构计算程序设计,重庆:重庆大学出版社,1988。 课程设计的工作计划: 1.第1周星期一上午:教师讲解程序设计方法,程序设计要求和任务安 排。 2.第1周星期一至星期二完成程序框图设计。 3.第1周星期三至第2周星期四完成程序设计。 4.第2周星期五完成课程设计报告。 任务下达日期 2013 年 6 月 6 日完成日期 2013 年 07 月 03 日 指导教师(签名) 学生(签名)

密码锁课程设计

课程设计报告 课程设计题目:4位串行数字密码锁 学号 学生姓名:谢渊良 专业:通信工程 班级:1421302 指导教师:钟凯 2017年1月5日 1.摘要 随着科技的发展数字电路的各种产品广泛应用,传统的机械锁由于其构造的简单,安全性不高,电子密码锁其保密性高,使用灵活性好,安全系数高,使用方便,将会是未来使用的趋势。本设计使用EDA设计使设计过程廷到高度自动化,其具有强大的设计功能、测试、仿真分析、管理等功能。使用EDA环境完成电路的系统综合设计和仿真。用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁。本设计基于Verilog HDL 语言来设计密码锁,先介绍设计要求和整体设计思想,随后对所使用各模块分别为键盘模块、连接模块、控制模块进行了介绍,给出各个模块的主要代码,在对各个模块的功能进行仿真。 关键字:密码锁 Verilog HDL 2.设计内容 设计一个4位数字密码锁子系统 1)1.2设计要求开锁密码为4位二进制,当输入密码与锁内给定的密码一致时,方可开锁。否则进入“错误”状态,发出报警信号。 2)锁内的密码可调。 3)串行数字密码锁的报警,直到按下复位开关,才停下。此时,数字密码锁又自动等待下一个开锁状态。 3.系统设计 本设计中,FPGA系统采用硬件描述语言Verilog按模块化方式进行设计,并用modersim软件对各个模块进行编写仿真。 3.1键盘模块 键盘电路理想接口图: Set

本模块采用2×2的扫描键盘电路,对输入信号进行采集,此模块的主要功能是每按下一个按键,flag产生一个矩形波,作为连接模块的触发信号。同时key_value值为所按下键的编码值,与flag一同传入连接模块。 实际设计接口图: 当输出kevalue:11值的时候,flag再次出现上跳沿。实际上,上面的图写的测试文件是有一点错误的,当a扫描到第三个值(01)时,b在实际电路中应该是01而不是11,此时根据程序flag应置为1,当然此时flag本来就是1,不会发生错误。在实际中,时钟频率跳的如此之快,人按一下按键的持续时间还是有的,所以flag应在按键按完后再下降下来。不然多出很多无用的矩形波,这个装置就没用了。 3.2连接模块 连接模块接口图: keyvalue 送入连接模块进行运算,当连续四个0和1键按下时,中,如果按下的是set键,则set置1,如果按下的是0键,则a_led置1,若是1键,则b_led 置1。 这里有一个需要注意的点是,当第一次按了0键后马上按reset键,再按一下1键时,a的值是1,而不是0。每次按了reset或set,a,b,c,d都是要重新赋值的,这才符合实际情况。 3.3控制模块: 因为这个密码锁是循环使用的,就一定有不同的状态。这里采用有限状态机的方法进行设计。所以把开锁过程分为三个部分: 1.等待输入状态; 2.重设密码状态; 3.输出结果状态; 状态转换图如下所示: 控制模块接口图: flag2 ena

电子密码锁单片机课程设计

电子密码锁单片机课程设计

单片机原理与应用技术课程设计报告 电子密码锁设计 专业班级:电气 124 姓名: 时间:2015年1月2日 指导教师:秦国庆 2015年1月2日

电子密码锁课程设计任务书 1.设计目的与要求 设计出一个电子密码锁。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)状态显示功能:锁定状态时系统用3位数码管显示OFF, 用3位码管显示成功开锁次 数;成功开锁时用3位数码管 显示888,用3位数码管显示 成功开锁次数。 (2)密码设定功能:通过一个4×4的矩阵式键盘可以任意设 置用户密码(1-26位长度), 同时系统掉电后能自动记忆 和存储密码在系统中。(3)报警和加锁功能:密码的输入

时间超过12秒或者连续3次 输入失败,声音报警同时锁定 系统,不让再次输入密码。此 时只有使用管理员密码方能 对系统解锁。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。 1

电子密码锁 电气124 赵政权 摘要:电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本设计利用51单片机编程控制原理,采用矩阵键盘、数码管显示、数据存储器和报警系统构成电子密码锁的设计成品。其中数码管使用两组三位一体共阳数码管,数据存储器使用AT24C04芯片通过IIC协议实现数据的传输,矩阵键盘用执行效率极高的代码扫描控制。使用Keil4.6编写程序代码,用Proteus进行仿真,无误后使用Altium制图,最终做成能使用的成品。 关键词: 51单片机 IIC协议矩阵键盘动态显示 Keil Proteus Altium 2

电子密码锁设计

《嵌入式系统》课程设计报告 题目 院系:机电学院 学生姓名:任 专业: 班级: 1 1 1 指导教师: 完成时间:2014-10-17

目录 1 系统总体方案设计 0 2 硬件电路设计 (2) 2.1 键盘电路设计 (2) 2.2 LCD1206显示电路 (3) 2.3 响应电路 (5) 3 软件设计 (6) 3.1软件设计思路 (5) 3.2 子程序 (6) 4 系统调试 (9) 5 心得体会 (10) 6 参考文献 (11) 附录 (12) (1)源程序 (12) (2)硬件原理图 (15)

摘要:随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 关键词:4×4矩阵键盘;stm32;密码锁;LCD1602

1 系统总体方案设计 1.1采用数字电路控制 其原理方框图如图1-1所示。 图1.1 数字密码锁电路方案 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、键盘输入次数锁定电路。 1.2 采用一种是用以stm32为核心的控制方案 利用其灵活的编程设计及其控制的准确性,不但能实现基本的密码锁功能,还能添加调电存储、声光提示甚至添加遥控控制功能。其原理如图1.2所示。 图1.2 stm32控制方案

重庆大学课程设计规范化要求

重庆大学本科课程设计规范化要求 第一条装订 课程设计装订顺序为: (1)封面(学校统一规定) (2)指导教师评定成绩表(学校统一封面扉页内的要求没有这项规定,我们不要求) (3)任务书(由指导教师填写) (4)摘要及关键词(仅对论文)(课程设计不要求此项) 摘要是论文内容的简短陈述,一般300字左右。关键词是反映论文主题内容的通用技术词汇,一般为3~5词,并出现在摘要中。 (5)正文 (6)结论(仅对论文) (7)注解(尾注或夹注)(可选项) (8)参考文献 参考文献必须是学生在课程设计中真正阅读过和运用过的,文献按照在正文中的出现顺序编号排列。各类文献的标注格式如下: 著作:[序号]著者.译者.书名.出版社.出版时间.引用部分起止页 期刊:[序号] 著者.译者.文章题目.期刊名.年份.卷号(期刊数).引用部分起止页 会议论文集:[序号]作者.译者.文章名.文集名.会址.开会年.出版者.出版时间.引用部分起止页 图纸应与计算书分开装订。 第二条论文(计算书)格式 论文(或计算书)手写、打印均可,需采用统一的课程设计用纸。纸张大小A4,上下左右各留20mm页边距。手写时用黑或蓝墨水工整书写;打印:行距均采用固定值,设定值20磅,正文字体使用小四号宋体,小标题使用小四号黑体,大标题使用四号黑体,章节标题使用三号黑体、居中。页眉按“作者姓名:XXXXXX(课程设计题目)”注写,页脚居中,用于标页码。如: 第三条课程设计说明书或论文字数要求:一周不少于3000字;二周不少于4000字;三周及以上不少于5000字。

第四条指导教师应根据规范化要求进行课程设计的形式审查工作。凡形式审查不合格者,学生可以限期(一般不超过学生提交课程设计的时间两天)整改,过时若仍不合格则不评定其课程设计成绩。

密码锁-单片机课程设计

1 绪论 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。电子锁可以在日常生活和现代办公中,住宅与办公室的安全防范、单位的文件档案、财务报表以及一些个人资料的保存等多种场合使用。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性和方便性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点随着人们对安全的重视和科技的发展,对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。具有报警功能的电子密码锁保密性高,使用灵活性好,安全系数高,密码锁设计方法合理,简单易行,成本低,符合住宅、办公室用锁要求,具有推广价值。电子密码锁采取电子电路控制,通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务。 本次设计使用AT89S52单片机构成最小系统,接以键盘,报警系统,液晶显示构成人性化的方便易用的电子密码锁。

2 设计任务、功能要求说明及总体方案介绍 2.1 设计目的与任务 本设计采用AT89S52单片机为主控芯片,结合外围电路矩阵键盘、液晶显示器LCD 1602A等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行对比,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警,组成的电子密码锁系统。 2.2 设计内容及要求 (1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 (2)其密码为方8位十进制代码(代码自设定)。 (3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) (4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路,查阅至少5篇参考文献。按《湖南工学院课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 2.3 设计总体方案及工作原理说明 采用以单片机AT89S52为核心的控制方案,总体框图见图1。 单片机作为本装置的核心器件,在系统中起到控制声光报警、以及数码动态显示的作用。一般来说在选择单片机时下面几个方面考虑:性能、存储器、运行速度、I/O口、定时/计数器、串行接口、模拟电路功能、工作电压、功耗、封装形式、抗干扰性、保密性,除了以上的一些还有一些最基本的比如:中断源的数量和优先级、工作温度范围、有没有低电压检测功能、单片机内有无时钟振荡器、有无上电复位功能等。在开发过程中单片机还受到:开发工具、编程器、开发成本、开发人员的适应性、技术支持和服务等等因素。基于以上因素本设计选用单片机AT89S52作为本设计的核心元件,利用单片机灵活的编程设计和丰富的I/O 端口,以及控制的准确性,实现基本的密码锁功能。在单片机的外围电路外接加

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

基于51单片机电子密码锁设计

一、设计目的 1.1课题简介 如何实现防盗是很多人关心的问题,传统的机械锁由于其构造简单,被撬的事件屡见不鲜,使人们的人身及财产安全受到很大威胁。电子密码锁是一种依靠电子电路来控制电磁锁的开和闭的装置,开锁需要输入正确密码,若密码泄露,用户可以随时更改密码。因此其保密性高,使用灵活性好,安全系数高,可以满足广大用户的需要,现在广泛使用的有红外遥控电子密码锁,声控密码锁,按键密码锁等。 1.2课题研究目的 本设计是一种基于单片机的密码锁方案,根据基本要求规划单片机密码锁的硬件电路和软件程序,同时对单片机的型号选择、硬件设计、软件流程图、单片机存储单元的分配等都有注释。现在很多地方都需要密码锁,电子密码锁的性能和安全性大大超过了机械锁,为了提高密码的保密性,必须可以经常更改密码,以便密码被盗时可以修改密码。 本次设计的密码锁具备的功能:LED数码管显示初始状态“——————”,用户通过键盘输入密码,每输入一位密码,LED数码管相应有一位变为“P”,若想重新输入密码,只需按下“CLR”键。密码输入完毕后按确认键“#”,密码锁控制芯片将输入的密码和密码锁控制芯片中存储的密码相比,若密码错误,则不开锁,会有红灯亮提示,同时显示“Error”。若正确,则开锁,会有绿灯亮提示,同时显示“PASS”。用户可以根据实际情况随意改变密码值或密码长度,密码输入正确后可以按下“CHG”修改密码,输入新密码时每输入一位新密码相应有一位变为“H”,以便提示用户此时输入的是新密码,修改新密码时若想重新输入新密码只需按下“CLR”键即可。输入新密码后按确认键即修改成功,新密码写入单片机内部RAM中,以便以后用来确认密码的正确性。按下复位键,系统恢复初始状态,密码也恢复初始密码,本设计中初始密码是“096168”。 本次设计中硬件主要由我完成,软件主要由张振完成。 二、硬件设计 2.1概述 本系统主要由单片机最小系统、电源电路、输入键盘电路、输出显示电路、开锁电路等组成,系统框图如图1所示:

电子密码锁课程设计

目录 摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。

主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1.设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础、低频与高频电子线路等课程的基础上,主要让学生加深对电子线路理论知识的掌握,使学生能把所学的知识系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础知识,有助于培养学生综合能力。 2.设计要求 1)要求电子器件设计制作密码锁的控制电路,使之在输入正确的代码时,输出信号以 启动执行机构动作,并且用红、绿LED指示关锁、开锁状态。 2)密码锁控制器中存储一个4位代码,当开锁按钮开关设置9位,其中只有4位有效) 的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。 3)从第一个按钮触动后的5秒内若未能将锁打开,则电路自动复位并由扬声器发出 20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。 4)要求性能可靠、操作简便。 5)密码锁控制器中存储的4位密码可以修改。 3.方案选择 第一方案555集成电路构成的密码锁电路 图3-1555时基集成电路组成的电子密码锁电路

电子密码锁的设计与制作

天津大学网络教育学院 专科毕业论文 题目:电子密码锁的设计与制作 完成期限:2016年1月8日至 2016年4月20日 学习中心:嘉兴 专业名称:电气自动化技术 学生姓名:张伟强 学生学号:132092433077 指导教师:刘斌

电子密码锁的设计与制作 第1章方案选择和总体设计 1.1 国内外现状 目前,最常用的锁是20世纪50年代意大利人设计的机械锁,其机构简单、使用方便、价格便宜。但在使用中暴露了很多缺点:一是机械锁是靠金属制成的钥匙上的不同齿形与锁芯的配合来工作的。据统计,每4000把锁中就有两把锁的钥匙齿牙相同或类似,故安全性低。二是钥匙一旦丢失,无论谁捡到都可以将锁打开。三是机械锁的材料大多为黄铜,质地较软,容易损坏。四是机械锁钥匙易于复制,不适于诸如宾馆等公共场所使用。由于人们对锁的安全性,方便性等性能有更高的要求,许多智能锁(如指纹辨别、IC卡识别)也相继问世,但这类产品的特点是针对特定指纹或有效卡,但能适用于保密要求高且仅供个别人使用的箱、柜、房间,其成本一般较高,在一定程度上限制了这类产品的普及和推广。 随着人们生活水平的提高,电子密码防盗锁作为防盗卫士的作用日趋重要。电子密码防盗锁用密码代替钥匙,不但省去了佩戴钥匙的烦恼,也从根本上解决了普通门锁保密性差的缺点。根据国外的统计资料显示,装有电子防盗装置的商业区或居民区盗窃犯罪率平均下降30%左右。目前西方发达国家已经大量地应用这种智能门禁系统,但在我国的应用还不广泛,成本还很高。 1.2设计目标 利用51系列单片机为核心,采用矩阵键盘作为数字输入;6位数字密码显示;可重新设置新密码,EPROM存储密码,掉电不丢失;当输入密码与存储密码一致时,开锁,并响音乐,若连续三次输入错误,则报警灯亮并且蜂鸣器响。

简易电子密码锁课程设计

江西理工大学应用科学学院 微机控制系统课程设计报告 题目:简易电子密码锁 姓名: 学号: 专业班级: 指导教师: 完成时间: 设计报告综合测试平时总评 格式(10分) 内容 (10分) 图表 (5分) 功能测试 (35分) 答辩 (20分) 考勤 (20分)指导教师签名:

目录 摘要.................................................... - 1 - 第一章系统概述.. (2) 第二章基本功能设计.................................... - 3 - 2.1 实验任务........................................ - 3 - 2.2 基本设计要求.................................... - 3 - 2.2.1 基本要求.................................. - 3 - 2.2.2发挥部分 .................................. - 3 - 2.3 主要元件介绍 (3) 2.3.1 P89C51芯片 (3) 2.4 系统框图 (5) 第三章硬件设计 (5) 3.1 硬件电路的设计 (5) 3.1.1 硬件工作接线口 (5) 3.1.2 LED显示器结构与原理 (5) 3.1.3 复位电路 (7) 3.1.4 振荡电路 (7) 3.1.5 按键设置 (8) 3.1.6 报警器和发光二极管 (9) 3.2 硬件电路图 (9) 第四章软件设计 (11) 4.1 系统软件设计 (11) 4.1.1密码开锁功能 (12) 第五章系统PROTUSE仿真图 (13) 第六章设计总结 (16) 参考文献 (17) 附录 (18)

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

课程设计-密码锁

课程设计课程名称: 设计题目: 专业: 姓名: 学号:

一、设计内容和要求 题目:密码锁 该锁有4个密码拨动开关(设定0和1)来设置密码,一个开箱钥匙孔(能接通电池)。当用钥匙开箱时,如果输入的4位密码正确,箱被打开;否则,电路将发出警报(发光二极管亮表示)。要求锁的密码在第一次使用时能由用户自行进行一次性设定(机械方式)。 具体要求: 1)写出该组合逻辑电路的分析和设计方法; 2)画出电路原理图,标明要使用的芯片。 二、电路设计 1.题目分析 从题目要求来看,该密码锁必须具备以下几个条件: (1).所设置的密码必须为4位,例如1000。 (2).密码错误时,必须发出警报,此时二极管亮。 (3).可以进行密码设定。 2.设计思路 因为每一位密码只有两个状态,即0和1,因此可以用单刀双掷开关结合高低电平来实现密码的设定以及输入,而设定密码与输入密码的比对可以通过异或门来实现。具体可以是4个异或门连接输入端,然后与反向器相连接,再连接4输入与非门,最后连接一个开关,一个500欧姆的限流电阻,一个发光二极管。 3.具体电路 总电路图如下图所示:

开始的8个单刀双掷开关和VCC以及GND部分是密码的设定以及输入部分,期中J2-J5是密码的设定,J6-J9是密码的输入。开关拨到上输入1,开关拨到下输入为0。上图的设定密码为1010,此时输入密码也为1010,密码正确,因此发光二极管不亮。如果输入密码为1011,此时与设定密码不相符,就会发出警报,表现为二极管发光,如下图: 从图可以看出,二极管发出绿光,说明密码错误,需要重新输入。 开关J1与开箱钥匙孔相连接。当用钥匙开箱时,开关J1闭合,电路接通。 如果密码错误二极管就会发光。 4.电路分析 异或门电路的性质:两个引脚输入相同时输出为0,输入相异时输出为1。由此可知,如果设置密码与输入密码相同时,U9A的输出电平为低电平,因此发光二极管不发光。如果设置密码与输入密码不同,则U9A的输出电平为高电平,此时二极管发光。如果J1断开,即不用钥匙开箱,则无论输入是什么,都不可能发光。如果J1接通,即用钥匙开箱,则需要满足输入与设定不同是才会发光。 5.芯片需求 54LS86是一个有4二输入异或门的芯片,引脚图如下:

单片机电子密码锁课程设计

单片机电子密码锁 课程设计 1

基于单片机的电子密码锁设计 一、设计要求和条件 1.1 设计要求 根据单片机开发板所提供的元件特性和硬件电路, 编写相关的程序, 经过实验开发板实现电子密码锁在LCD1602上显示的功能。 1.搭建proteus仿真电路图平台, 模拟单片机要实现的功能; 2.焊接单片机系统开发板; 3.编写程序, 实现密码锁相关功能; 4.下载并调试程序, 实现密码锁的具体功能。 1.2 设计目的 1.熟练掌握KEIL软件的使用方法; 2.熟练掌握PROTEUS软件的使用方法; 3.掌握单片机I/O接口的工作原理; 4.掌握中断系统的工作原理; 5.掌握液晶LCD1602的工作原理及编程方法; 6.掌握蜂鸣器的编程使用; 2

7.掌握行列式键盘的工作原理及编程使用方法; 8.掌握单片机的ISP下载使用方法。 1.3 功能概述 本设计是基于单片机的密码锁设计方案, 根据要求, 给出了该单片机密码锁的硬件电路和软件程序, 同时给出了硬件设计方案、软件流程图、C语言源程序及详细注释等内容, 由于单片机实验板上的矩阵键盘为3*3的, 则规定0-5号键为数字键, 6-8号键为功能键, 其中该密码锁的具体功能介绍如下: (1)按”8”号键则输入密码, 初始密码为012345, 在LCD1602上显示密码值为”******”( 密码是保密的) , 输完6位后键盘就锁定, 在LCD1602上显示密码是否正确, 若输入的密码长度小于6位, 则1602等待密码输入。 (2)若密码输入正确后, 则绿色的发光二极管亮表示开锁, 而且1602上显示”you are right!”, 等待是否修改密码。 (3)密码输入错误时显示”code is wrong”, 接着会给你第二、第三次机会输入密码, 如果三次密码都错误时, 发出”叮咚”的报警声, 且红色报警指示灯不停闪烁, 按复位键清除报警。 3

电子密码锁设计报告概要

课程设计说明 书 课程名称:《单片机技术》设计 题目:基于51单片机的电子密码锁 院(部):学生姓名:电子信息与电气工程学院 马亚林 学号: 专业班级:指导教师:12 通信工程(专升本) 丁莹亮 2013 年05月17日

设计题目 课 程 设 计 任 务 书 基于 51 单片机的电子密码锁 学生姓名 设计要求: 12 通信工程(专 升本) 设计以单片机 AT89C51 为核心的电子密码锁,包括电子密码锁完整的设计过程以及外围 的开锁电路和报警电路的设计。 电子密码锁要完成以下部分的设计:按键接口电路、电子密码锁的控制电路、输出 八段显示电路。 电子密码锁控制电路能完成以下功能设计:数字按键的数字输入、存储和清除、功 能按键的功能设计、密码的清除和复位、报警信号产生电路密码核对、解除电锁电路、 输出八段显示电路完成以下电路设计:数据选择电路、八段显示器扫描电路。 学生应完成的工作: 1. 2. 3. 4. 5. 运用 Proteus 软件设计电路原理图; 用 Proteus 软件进行仿真; 焊接电路板并调试实现其功能; 完成实习报告; 我的任务是设计电路原理图并仿真。 参考文献阅读: [1] 杜尚丰. CAN 总线测控技术及其应用.北京:电子工业出版社,2007.1 [2] 杜树春.单片机 C 语言和汇编语言混合编程实例详解.北京:北京航空航天大学出版社,2006.6 工作计划: 2013.5.6 熟悉课题并查阅相关资料,同时消化吸收资料内容; 2013.5.7——2013.5.8 2013.5.9——2013.5.10 根据设计题目确定硬件设计方案,并交与指导老师修改; 开始着手课题的软件设计,与指导老师进行沟通; 2013.5.13 申请领用元器件; 2013.5.14——2013.5.17 进行实物制作,并撰写课程设计报告。 任务下达日期:2013 年 5 月 6 日 任务完成日期:2013 年 5 月 17 日 指导教师(签名): 学生(签名):王立斌 王立斌 所在院部 基于 51 单片机 的电子密码锁 专业、年级、 班

重庆大学 自动控制原理课程设计

目录 1 实验背景 (2) 2 实验介绍 (3) 3 微分方程和传递函数 (6)

1 实验背景 在现代科学技术的众多领域中,自动控制技术起着越来越重要的作用。自动控制原理是相对于人工控制概念而言的,自动控制是指在没有人直接参与的情况下,利用外加的设备或装置(称控制装置或控制器),使机器,设备或生产过程(统称被控对象)的某个工作状态或参数(即被控制量)自动地按照预定的规律运行。 在自动控制原理【1】中提出,20世纪50年代末60年代初,由于空间技术发展的需要,对自动控制的精密性和经济指标,提出了极其严格的要求;同时,由于数字计算机,特别是微型机的迅速发展,为控制理论的发展提供了有力的工具。在他们的推动下,控制理论有了重大发展,如庞特里亚金的极大值原理,贝尔曼的动态规划理论。卡尔曼的能控性能观测性和最优滤波理论等,这些都标志着控制理论已从经典控制理论发展到现代控制理论的阶段。现代控制理论的特点。是采用状态空间法(时域方法),研究“多输入-多输出”控制系统、时变和非线性控制系统的分析和设计。现在,随着技术革命和大规模复杂系统的发展,已促使控制理论开始向第三个发展阶段即第三代控制理论——大系统理论和智能控制理论发展。 在其他文献中也有所述及(如下): 至今自动控制已经经历了五代的发展: 第一代过程控制体系是150年前基于5-13psi的气动信号标准(气动控制系统PCS,Pneumatic Control System)。简单的就地操作模式,控制理论初步形成,尚未有控制室的概念。 第二代过程控制体系(模拟式或ACS,Analog Control System)是基于0-10mA或4-20mA 的电流模拟信号,这一明显的进步,在整整25年内牢牢地统治了整个自动控制领域。它标志了电气自动控制时代的到来。控制理论有了重大发展,三大控制论的确立奠定了现代控制的基础;控制室的设立,控制功能分离的模式一直沿用至今。 第三代过程控制体系(CCS,Computer Control System).70年代开始了数字计算机的应用,产生了巨大的技术优势,人们在测量,模拟和逻辑控制领域率先使用,从而产生了第三代过程控制体系(CCS,Computer Control System)。这个被称为第三代过程控制体系是自动控制领域的一次革命,它充分发挥了计算机的特长,于是人们普遍认为计算机能做好一切事情,自然而然地产生了被称为“集中控制”的中央控制计算机系统,需要指出的是系统的信号传输系统依然是大部分沿用4-20mA的模拟信号,但是时隔不久人们发现,随着控制的集中和可靠性方面的问题,失控的危险也集中了,稍有不慎就会使整个系统瘫痪。所以它很快被发展成分布式控制系统(DCS)。 第四代过程控制体系(DCS,Distributed Control System分布式控制系统):随着半导体制造技术的飞速发展,微处理器的普遍使用,计算机技术可靠性的大幅度增加,目前普遍使用的是第四代过程控制体系(DCS,或分布式数字控制系统),它主要特点是整个控制系统不再是仅仅具有一台计算机,而是由几台计算机和一些智能仪表和智能部件构成一个了控制

密码锁课程设计(开发板实现)

目录 1 引言 (1) 2主要元器件介绍 (2) 2.1主控芯片AT89S51 (2) 2.1.1 AT89S51性能简介 (2) 2.1.2 AT89S51引脚功能说明 (3) 2.2 LCD1602显示器 (5) 2.2.1接口信号说明 (5) 2.2.2主要技术参数 (5) 2.2.3 1602基本操作步骤 (5) 3系统硬件 (7) 3.1设计原理 (7) 3.2电路总体构成 (7) 3.3键盘输入部分 (8) 3.4 LCD1602显示 (8) 4系统软件设计 (10) 4.1主程序模块 (10) 4.2按键功能模块 (11) 4.3修改密码模块 (13) 4.4开锁模块 (14) 参考文献 (16) 附录 (17)

1引言 锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁的电子密码锁是以芯片为核心,通过编程来实现的。其性能和安全性已大大超过了机械锁。 在日常生活和工作中,住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。目前门锁主要用弹子锁,其钥匙容易丢失;保险箱主要用机械密码锁,其结构较为复杂,制造精度要求高,成本高,且易出现故障,人们常需携带多把钥匙,使用极不方便,且钥匙丢失后安全性即大打折扣。针对这些锁具给人们带来的不便若使用机械式钥匙开锁,为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。它的出现为人们的生活带来了很大的方便,有很广阔的市场前景。由于电子器件所限,以前开发的电子密码锁,其种类不多,保密性差,最基本的就是只依靠最简单的模拟电子开关来实现的,制作简单但很不安全,在后为多是基于EDA来实现的,其电路结构复杂,电子元件繁多,也有使用早先的20引脚的2051系列单片机来实现的,但密码简单,易破解。随着电子元件的进一步发展,电子密码锁也出现了很多的种类,功能日益强大,使用更加方便,安全保密性更强,由以前的单密码输入发展到现在的,密码加感应元件,实现了真正的电子加密,用户只有密码或电子钥匙中的一样,是打不开锁的,随着电子元件的发展及人们对保密性需求的提高出现了越来越多的电子密码锁。 本设计采用单片机为主控芯片,结合外围电路,组成电子密码锁,用户想要打开锁,必先通过提供的键盘输入正确的密码才能将锁打开,密码输入错误有提示,为了提高安全性,当密码输入错误三次将报警。密码可以由用户自己修改设定。修改密码之前必须再次输入密码,以防止误操作。

电子密码锁的设计毕业论文

电子密码锁的设计毕业论文 目录 1 绪论 (1) 1.1 引言 (1) 1.2电子锁简介 (2) 1.3电子密码锁的特点 (2) 1.4方案论证与比较 (3) 2 AT89C51单片机概况 (5) 2.1 AT89C51单片机的简介 (5) 2.2 AT89C51单片机的引脚 (6) 2.3 AT89C51单片机复位方式 (8) 3 电路的功能单元设计 (9) 3.1开锁机构 (9) 3.2按键电路设计 (10) 3.3显示电路设计 (12) 3.4AT24C02掉电存储单元的设计 (14) 3.5密码锁的电源电路设计 (15) 3.6设计总框图 (17) 3.7设计总体电路图 (18) 4 程序设计 (19) 4.1主程序流程图 (19)

4.2键盘扫描子程序模块 (20) 4.3数字处理程序模块 (21) 4.4开锁程序 (22) 4.5 密码设置程序 (23) 5 总结 (23) 致谢 (25) 参考文献 (26)

1 绪论 1.1 引言 在日常的生活和工作中, 住宅与部门的安全防、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点。 在安全技术防领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC 卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。

数字密码锁课程设计

数字密码锁课程设计报告 题目:数字密码锁课程设计 专业:通信工程 班级: 姓名: 指导教师: 电气工程系 2011年6月

摘要 数字密码锁越来越多的出现在我们生活之中,渐渐地取代了机械锁的地位,为进一步掌握数电知识,学习数字密码锁的设计原理本课程设计研究数字密码锁的实现方法,设计本课题主要有两种方案:一种是用利用单片机实现控制的方案;另一种是用以各种中规模集成电路构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,且与数字电子技术联系有限,所以本文采用以各种分立式元件及中规模集成电路构成的数字逻辑电路控制方案。 电子密码锁是一种通过密码输入来控制电路,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本篇课程设计所实现的密码锁利用数字逻辑电路知识,来实现对锁的电子控制并且保证密码所有一定的防盗效果和实用性。本设计共设置了8个输入键,为简化电路,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入。此外,如果用户输入密码的时间过长,电路将自动响铃报警。

目录 第一章设计背景 (5) 第二章方案设计 (5) 2.1系统原理方框图 (5) 2.2设计思路 (5) 第三章元器件选择 (6) 3.1移位寄存器74LS164 (6) 3.2555芯片的介绍 (7) 3.374LS112芯片的介绍 (7) 第四章设计原理分析 (7) 4.1键盘输入修改电路 (7) 4.2密码检测电路 (8) 4.3执行电路 (9) 4.4报警电路 (10) 第五章电路设计 (11) 第六章. 设计总结 (13) 参考文献 (13)

相关文档
最新文档