基于DDS的数字移相信号发生器

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA课程设计

课题名称_ 基于DDS的数字移相信号发生器专业_ 电子信息工程____ _ _ 班级_____ _________ __ __

学号_

姓名_ __ __ 成绩_____ ____________ _

指导教师___ _ ___ ___

2014年5 月7日

一、课程设计目的 (3)

二、设计任务 (3)

三、工作原理及模块分析 (3)

1、频率预置与调节电路 (4)

2、累加器 (4)

3、波形存储器 (4)

4、D/A转换器 (5)

四、相关程序 (5)

1、加法器 (5)

(1)ADD10 (5)

(2)ADD32 (7)

2、寄存器 (9)

(1)REG10B (9)

(2)REG32B (11)

3、ROM (13)

4、主程序 (16)

五、仿真结果: (19)

六、引脚配置和下载 (20)

七、实验心得 (21)

一、课程设计目的

1、进一步熟悉Quartus Ⅱ的软件使用方法;

2、熟悉利用VHDL设计数字系统并学习LPM_ADD_SUB、LPM ROM、LPM_FF 的使用方法;

3、学习FPGA硬件资源的使用和控制方法;

4、掌握DDS基本原理,学习利用此原理进行信号发生器的设计

二、设计任务

完成10位输出数据宽度的频率可调的移相正弦信号发生器,通过按键调节频率和初始相位,实现相位和频率可调的正弦信号发生器

三、工作原理及模块分析

直接数字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。与模拟式的频率锁相环PLL相比,它有许多优点,突出为(1)频率的切换迅速;(2)频率稳定度高。

一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。DDS的原理框图如下所示:

fc

图1直接数字频率合成器原理图

其中K为频率控制字,fc为时钟频率,N为相位累加器的字长,D为ROM 数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM 输出的幅码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。

1、频率预置与调节电路

不变量K被称为相位增量,也叫频率控制字。DDS方程为:f0= fc K/2n,f0为输出频率,fc为时钟频率。当K=1时,DDS输出最低频率(也既频率分辩率)为fc /2n

DDS的最大输出频率由Nyguist 采样定理决定,即fc /2,也就是说K的最大值为2n-1.因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS 的输出频率,只要改变频率控制字K即可。

2、累加器

相位累加器的原理图如下图

图2 相位累加器原理图

相位累加器由N为加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端,寄存器将加法器在上一个时钟作用后所产生的下数据反馈到加法器的输入端;以使加法器在下一个时钟作用下继续频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加,当相位累加器累加满量时,就产生一次溢出,完成一个周期性的动作,这个周期应为uk= 2n / GCD(2N ;k),其中GCD表示最大公约数。

3、波形存储器

用相位累加器输出的数据作为波形存储器的取样地址进行波形的相位——幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM 相当于把00--- 3600的正弦信号离散成具有2n 样值的序列,若波形ROM有D 位数据位,则2n个样值的幅值以D位二进制数值固化在ROM 中,按照地址的

不同可以输出相宜相位的正弦信号的幅值。相位----幅值变换原理图如下所示。

图3相位-幅度变换原理图

4、D/A转换器

D/A转换器的作用是把已经合成的正弦波的数字量转换成模拟量,正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t),S(t)的周期为T=uk*Tc.。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出波形的精度也就越高。

四、相关程序

1、加法器

(1)ADD10

LIBRARY ieee;

USE ieee.std_logic_1164.all;

LIBRARY lpm;

USE lpm.all;

ENTITY ADD10 IS

PORT

(

dataa : IN STD_LOGIC_VECTOR (9 DOWNTO 0);

datab : IN STD_LOGIC_VECTOR (9 DOWNTO 0);

result : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)

);

END ADD10;

ARCHITECTURE SYN OF add10 IS

SIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0); COMPONENT lpm_add_sub

GENERIC

(

lpm_direction : STRING;

lpm_hint : STRING;

lpm_type : STRING;

lpm_width : NATURAL

);

PORT

(

dataa : IN STD_LOGIC_VECTOR (9 DOWNTO 0);

datab : IN STD_LOGIC_VECTOR (9 DOWNTO 0);

result : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)

);

END COMPONENT;

BEGIN

result <= sub_wire0(9 DOWNTO 0);

lpm_add_sub_component : lpm_add_sub

GENERIC MAP

(

lpm_direction => "ADD",

lpm_hint => "ONE_INPUT_IS_CONSTANT=NO,CIN_USED=NO", lpm_type => "LPM_ADD_SUB",

lpm_width => 10

)

PORT MAP

(

dataa => dataa,

datab => datab,

result => sub_wire0

);

END SYN;

相关文档
最新文档