电子科大20春《EDA技术》在线作业2答案44918
电子科技大学20春《EDA技术》在线作业3.doc

1.EDA设计流程包括( )、设计输入、设计处理和器件编程四个步骤。
A.设计准备B.总体设计C.详细设计D.设计数据【参考答案】: A2.请在下例的语句中选择所需的符号____。
signal a,b,c :std_logic;c____a+b after 10ns;A.:=B.<=C.==D.=【参考答案】: B3.下面关于信号和变量的比较,错误的是()。
A.信号赋值可以有延迟时间B.变量赋值无时间延迟C.变量可以看作硬件的一根连线 D.进程对信号敏感【参考答案】: C4.基于下面技术的PLD器件中允许编程次数最多的是()。
A.FLASHB.EEPROMC.PROMD.SRAM【参考答案】: D5.MAX+PLUS的文本文件类型是(后缀名)是A.*.scfB.*.vhdC.*.gdfD.*.sof【参考答案】: B6.关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(7 downto 5)=_____________。
A.’010B.‘001C.‘011D.’100【参考答案】: B7.一个完整结构的结构体由哪两个基本层次组出A.数据说明和进程B.结构体说明和结构体功能描述C.顺序描述语句和并行执行语句 D.结构体例化和结构体赋值【参考答案】: B8.文本输入方式是指采用()进行电路设计的方式。
A.CB.硬件描述语言C.CD.JAVA【参考答案】: B9.字符串型文字O“1234”的长度为___________。
A.12B.4C.8D.16【参考答案】: A10.EDA的设计验证包括()、时序仿真和器件测试三个过程。
A.形式仿真B.数值仿真C.功能仿真D.行为仿真【参考答案】: C11.值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。
A.1100B.1101C.1110D.1000【参考答案】: B12.在verilog语言中,a=4b'1011,那么^a=A.4b'1011B.4b'1111C.1b'1D.1b'0【参考答案】: C13.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,()是错误的。
电子科技大学20春《电子技术基础》在线作业1.doc

1.N个触发器可以构成能寄存()位二进制数码的寄存器A.N-1B.NC.N1D.2N【参考答案】: B2.PN结加正向电压时,空间电荷区将()A.变窄B.基本不变C.变宽【参考答案】: A3.一位8421BCD码计数器至少需要()个触发器A.3B.4C.5D.10【参考答案】: B4.一个触发器可记录一位二进制代码,它有()个稳态。
A.0B.1C.2D.3【参考答案】: C5.在晶体管电压放大电路中,当输入信号一定时,静态工作点设置偏向截止区将可能产生( )A.底部失真B.顶部失真C.交越失真D.频率失真【参考答案】: B6.为了改善整流电压的脉动程度,要在整流电路中加接()A.变频器B.滤波器C.稳压器【参考答案】: B7.固定偏置基本放大电路出现饱和失真时,应调节RB,使其阻值()A.增大B.减小C.先增大后减小【参考答案】: A8.对某电路中一个NPN型硅管测试,测得UBE>0,UBC>0,UCE>0,则此管工作在()A.放大区B.饱和区C.截止区【参考答案】: B9.负反馈对放大电路性能的影响,下列叙述中正确的是()A.提高放大倍数B.降低放大倍数的稳定性C.带负载能力降低D.改善波形失真【参考答案】: D10.稳压电源中的稳压二极管工作于()状态。
A.正向导通B.反向截止C.反向击穿【参考答案】: C11.直流稳压电源一般是由()、()、滤波、稳压四部分组成A.变压B.变频C.整流【参考答案】: AC12.直流稳压电源一般是由变压、整流、()、()四部分组成A.变频B.滤波C.稳压【参考答案】: BC13.如果希望减小放大电路从信号源索取的电流,则可采用();如希望负载变化时,输出电流稳定,应引入()A.电压负反馈B.电流负反馈C.串联负反馈D.并联负反馈【参考答案】: BC14.单相半波整流电路只利用了电源的半个周期。
A.错误B.正确【参考答案】: B15.稳压二极管与限流电阻配合能起到稳压作用,在电路中稳压管的阳极接电源的负极。
eda技术及应用考试及答案

eda技术及应用考试及答案一、单选题(每题2分,共20分)1. EDA技术的核心是()。
A. 电子设计自动化B. 电子制造自动化C. 电子测试自动化D. 电子组装自动化答案:A2. 在EDA技术中,HDL指的是()。
A. 高级设计语言B. 硬件描述语言C. 硬件描述逻辑D. 高级描述语言答案:B3. 以下哪个不是EDA软件的主要功能()。
A. 逻辑综合B. 电路仿真C. 布线D. 机械加工答案:D4. 在EDA技术中,FPGA指的是()。
A. 现场可编程门阵列B. 固定可编程门阵列C. 现场可配置逻辑阵列D. 固定可配置逻辑阵列答案:A5. 以下哪个不是EDA技术中常用的仿真工具()。
A. ModelSimB. QuartusC. MATLABD. ISE答案:C6. 在EDA技术中,ASIC指的是()。
A. 应用特定集成电路B. 应用通用集成电路C. 应用特定逻辑阵列D. 应用通用逻辑阵列答案:A7. 在EDA技术中,以下哪个不是硬件描述语言()。
A. VHDLB. VerilogC. C语言D. SystemVerilog答案:C8. 在EDA技术中,以下哪个不是逻辑综合的主要任务()。
A. 优化逻辑B. 布局C. 布线D. 时序分析答案:C9. 在EDA技术中,以下哪个不是FPGA的主要优点()。
A. 可重构性B. 可编程性C. 低成本D. 高性能答案:C10. 在EDA技术中,以下哪个不是ASIC的主要优点()。
A. 高性能B. 低成本C. 低功耗D. 高可靠性答案:B二、多选题(每题3分,共15分)11. EDA技术的主要应用领域包括()。
A. 通信B. 计算机C. 消费电子D. 军事答案:ABCD12. 在EDA技术中,以下哪些是硬件描述语言的特点()。
A. 层次性B. 并行性C. 抽象性D. 可读性答案:ABC13. 在EDA技术中,以下哪些是FPGA的主要缺点()。
A. 成本高B. 速度慢C. 功耗大D. 灵活性差答案:ABC14. 在EDA技术中,以下哪些是ASIC的主要缺点()。
福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案2

福建师范大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.SPLD器件分为几类()。
A.PROMB.PLAC.PALD.GAL2.ASIC电路特点描述正确的是()。
A.周期长B.投入高C.功耗低D.省面积3.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。
()A.正确B.错误4.CAE是Computer Aided Engineering,计算机辅助工程的缩写。
()A.正确B.错误5.Verilog HDL和 VHDL目前还都不是IEEE标准。
()A.正确B.错误6.按照处理的HDL语言类型,仿真器可以分为()。
A.Verilog HDL仿真器B.VHDL HDL仿真器C.混合仿真器7.衡量仿真器性能的重要指标有哪些()。
A.仿真速度B.仿真的准确性C.仿真的易用性8.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。
A.设计输入B.综合C.布局布线D.仿真和编程9.CPLD是Complex Programmable Logic Device,复杂可编程逻辑器件的缩写。
()A.正确B.错误10.Verilog HDL中整数型常量是不可以综合的。
()A.正确B.错误11.Verilog HDL不支持逻辑运算符。
()A.正确B.错误12.用PLD器件实现设计的优势有哪些()?A.周期短B.投入少C.风险小D.对于成熟的设计往往采用PLD13.仿真分为功能仿真和时序仿真。
()A.正确B.错误14.15.不考虑信号时延等因素的仿真称为功能仿真。
()A.正确B.错误第2卷一.综合考核(共15题)1.Verilog HDL和VHDL目前还都不是IEEE标准。
()A.正确B.错误2.Verilog语言的行为描述语句,如条件语句、赋值语句和循环语句类似于软件高级语言,便于学习和使用。
()A.正确B.错误3.TOP-down设计一般分为哪几个层次()。
eda考试题及答案

eda考试题及答案一、选择题(每题2分,共10分)1. EDA技术中,以下哪个不是数字信号处理的步骤?A. 信号采集B. 信号放大C. 信号滤波D. 信号转换答案:D2. 在EDA中,以下哪个工具不是用于硬件描述语言的?A. VerilogB. VHDLC. MATLABD. SystemVerilog答案:C3. 以下哪个不是FPGA的配置方式?A. 主从模式B. JTAG模式C. 串行模式D. 并行模式答案:D4. 在EDA技术中,以下哪个不是逻辑门?A. 与门B. 或门C. 非门D. 异或门答案:D5. 以下哪个是EDA软件中用于时序分析的工具?A. 波形仿真B. 逻辑仿真C. 时序分析器D. 功能仿真答案:C二、填空题(每题2分,共10分)1. EDA技术的核心是______,它用于设计和验证电子系统。
答案:硬件描述语言2. 在EDA设计流程中,______是将硬件描述语言转换成逻辑电路图的过程。
答案:综合3. FPGA的全称是______,它是一种可编程的逻辑器件。
答案:现场可编程门阵列4. 在EDA中,______是一种用于模拟电路行为的工具,它可以帮助设计者验证电路设计的正确性。
答案:仿真5. 在EDA中,______是一种用于优化电路布局和布线的技术,以减少电路的延迟和功耗。
答案:布局布线三、简答题(每题10分,共20分)1. 简述EDA技术在现代电子设计中的重要性。
答案:EDA技术在现代电子设计中至关重要,因为它提供了一种高效、自动化的方式来设计、模拟和验证复杂的电子系统。
通过使用EDA工具,设计师可以快速迭代设计,减少错误,缩短产品上市时间,并提高电路的性能和可靠性。
2. 描述在EDA设计流程中,仿真测试的主要目的是什么。
答案:仿真测试的主要目的是在实际硬件实现之前验证电路设计的功能正确性和性能指标。
通过仿真,设计师可以检测和修复设计中的错误,优化电路性能,并预测电路在不同工作条件下的行为,从而确保最终产品能够满足设计规格和性能要求。
电子科技大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案2

电子科技大学智慧树知到“电子信息工程”《EDA技术》网课测试题答案(图片大小可自由调整)第1卷一.综合考核(共15题)1.以下不属于EDA技术的特点是()。
A.用软件方式设计硬件B.用硬件方式设计软件C.设计过程中可仿真D.系统可现场编程2.下面关于信号和变量的比较,错误的是()。
A.信号赋值可以有延迟时间B.变量赋值无时间延迟C.变量可以看作硬件的一根连线D.进程对信号敏感3.在VHDL中,用语句()表示检测clock的下降沿。
A.clock’EVENTB.clock’EVENT AND clock=‘2’C.clok=‘1’D.clock’EVENT AND clock=‘1’4.库(LIBRARY)包括哪几大类?()A.IEEE 库、STD 库、面向ASIC的库、用户定义库B.IEEE 库、STD 库、WORK库、用户定义库C.IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库D.STD 库、WORK库、面向ASIC的库、用户定义库5.在VHDL的CASE语句中,条件语句中的“=>”不是操作符号,其作用相当于()。
A.IFB.THENC.ANDD.OR 6.在VHDL标识符命名规则中,以()开头的标识符是正确的。
A.字母B.数字C.字母或数字D.下划线7.执行MAX+PLUSII的()命令,可以为设计电路建立一个元件符号。
A.create default symbolB.simulatorpilerD.timing analyzer8.在VHDL中,IF语句中至少应有1个条件句,条件句必须由()表达式构成。
A.BITB.STD_LOGICC.BOOLEAND.INTEGER9.EDA的中文含义是()。
A.电子设计自动化B.计算机辅助计算C.计算机辅助教学D.计算机辅助制造10.执行MAX+PLUSII的()命令,可以对设计的电路进行仿真。
A.create default symbolpilerC.simulatorD.programmer11.在VHDL中,条件信号赋值语句WHEN_ELSE属于()语句。
EDA技术智慧树知到课后章节答案2023年下泰山学院

EDA技术智慧树知到课后章节答案2023年下泰山学院泰山学院绪论单元测试1.电子设计自动化的英文缩写是EDA。
答案:对2.EDA课程学习要求的五个一是指答案:一种器件——FPGA/CPLD;一种技术——EDA;一套软件——QuartusII;一个设计目标——数字系统;一种语言——HDL3.学好EDA技术课程的标志是最后可以利用EDA方法设计出一个复杂的数字电子系统。
答案:对4.小组合作学习的目的包括答案:互帮互学;分工协作以完成复杂任务;相互激励克服困难;通过交流锻炼表达能力5.混合式学习的内涵包括答案:理论学习与实践学习的混合;独立学习与合作学习的混合;线上线下学习的混合;老师讲授与学生自学的混合第一章测试1.基于硬件描述语言的数字系统设计目前不太常用的设计方法是()设计法。
答案:自底向上2.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。
下面关于综合的描述错误的是答案:综合是纯软件的转换过程,与器件硬件结构无关。
3.所列哪个流程是基于EDA软件的正确的FPGA / CPLD设计流程答案:原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试4.IP核在EDA技术和开发中具有十分重要的地位,以版图文件方式提供的IP被称为()。
答案:硬IP5.EDA技术的发展包括哪几个阶段?答案:CAE;CAD;EDA;ESDA第二章测试1.FPGA中的嵌入式存储器块如M9K容量是可编程的,可以实现的容量包含下面哪些?答案:1K*8bit;4K*2bit;8K*1bit;0.5K*16bit;2K*4bit2.所列选项属于简单PLD器件的是答案:GAL;PLA;PROM;PAL3.CPLD的可编程原理是基于什么结构?答案:查找表4.CPLD器件中包含三种基本可编程结构:答案:可编程逻辑宏单元LMC);可编程内部互联PIA;可编程I/O单元IOB第三章测试1.JTAG标准接口是用来实现边界扫描测试的国际标准接口,实现测试只需要5个引脚:TDI、TDO、TCLK、TMS、TRST.答案:对2.在实验箱操作时,以下描述哪些是正确的?答案:在编程下载操作前必须先锁定引脚;主芯片外接时钟信号的输入引脚最好选择33脚即全局时钟引脚;实验箱操作时尽量不要带电拔插,以免造成器件损坏;锁定引脚后要再执行一遍编译操作才能把引脚锁定信息输入目标文件3.设计仿真文件常用的工具有答案:Zoom工具用来调整波形编辑器展示界面的大小;最常用的信号波形工具:时钟信号和计数器信号,以及高低电平”0“、“1”;设定仿真时间End Time;Node Fider工具用来选择工程需要展示的输入输出节点4.VHDL源程序的文件名应与()相同,否则无法通过编译。
eda技术及应用课后习题答案

eda 技术及应用课后习题答案【篇一:eda 技术实用教程(第四版)》习题答案】ss=txt>1 习题1- 1 eda 技术与asic 设计和fpga 开发有什么关系?fpga 在asic 设计中有什么用途?p3~41- 2 与软件描述语言相比,vhdl 有什么特点? p6l- 3 什么是综合?有哪些类型? 综合在电子设计自动化中的地位是什么? p51- 4 在eda 技术中,自顶向下的设计方法的重要意义是什么? p7~101- 5 ip 在eda 技术的应用和发展中的意义是什么? p22~141- 6 叙述eda 的fpga/cpld 设计流程,以及涉及的eda 工具及其在整个流程中的作用。
(p11~13)2 习题2- 1 olmc (输出逻辑宏单元)有何功能? 说明gal 是怎样实现可编程组合电路与时序电路的。
p34~362- 2 什么是基于乘积项的可编程逻辑结构? p33~34 ,40 什么是基于查找表的可编程逻辑结构? p40~412- 3 fpga 系列器件中的lab 有何作用? p43~452- 5 解释编程与配置这两个概念。
p582- 6 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的pld 器件归类为cpld ;将基于查找表的可编程逻辑结构的pld 器什归类为fpga ,那么,apex 系列属于什么类型pld 器件? max ii 系列又属于什么类型的pld 器件?为什么? p54~563 习题3- 1 画出与以下实体描述对应的原理图符号元件: entity buf3s is -- 实体1: 三态缓冲器port(input:in std_logic; -- 输入端enable:in std_logic; -- 使能端output:out std_logic); -- 输出端end buf3s ;entity mux21 is -- 实体2: 2 选1 多路选择器port(in0, in1,sel: in std_logic; output:out std_logic);3- 2 图3-16 所示的是4 选1 多路选择器,试分别用if_then 语句和case 语句的表达方式写出此电路的vhdl 程序,选择控制信号s1 和s0 的数据类型为std_logic_vector; 当s1='0',s0=;'s01'='0',s0= ;'1' s1 =' 1' ,s0=和Sf='1' ,s0=时,分别执行y=a、y=b、y=c、y=d。
电子科大20春《EDA技术》在线作业1答案44916

电子科大20春《EDA技术》在线作业1红字部分为答案!单选题1.在VHDL中,结构体内部是由()语句组成的。
A.顺序B.并行C.顺序和并行D.任何2.库(LIBRARY)包括哪几大类A.IEEE 库、STD 库、面向ASIC的库、用户定义库B.IEEE 库、STD 库、WORK库、用户定义库C.IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库D.STD 库、WORK库、面向ASIC的库、用户定义库3.下面哪一条命令是MAXPLUSII在时序仿真时执行加载节点的命令()。
A.file- set project to current fileB.assign-pin/location chipC.node-enter node from SNFD.file-create default symbol4.在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP ()中的信号名关联起来。
A.=B.:=C.<=D.=>5.EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式A.512x8,1024x4,2048x2,4096x1B.256x8,512x4,1024x2,2048x1C.256x4,512x2,1024x1D.256x16,512x8,1024x4,2048x26.下列关于变量的说法正确的是A.变量是一个局部量,它只能在进程和子程序中使用。
B.变量的赋值不是立即发生的。
C.在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D.变量赋值的一般表达式为目标变量名表达式。
7.进程语句的启动条件是A.wait语句或敏感信号量B.wait语句C.敏感信号量D.wait语句或且敏感信号量8.VHDL数据对象有A.常量、变量。
EDA技术课后参考答案(陈炳权_曾庆立)之欧阳歌谷创编

答案由个人做出,可能有不全或错误之处,欢迎大家批评指正。
欧阳歌谷(2021.02.01)第一章1.EDA的英文全称及其中文含义是什么?答:EDA是Electronic Design Automation,其中文含义是“电子设计自动化”。
2.什么叫EDA技术?简述EDA技术的发展历程。
答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。
3.简述用EDA技术设计电路的设计流程。
答EDA设计流程包括:设计准备、设计输入、设计处理、设计校验、器件编程、器件测试和设计验证。
4.什么叫”综合”和”网表文件”?答:(A)在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
(1)从自然语言转换到VHDL 语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
(3)从RTL 级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。
(4)从逻辑门表示转换到版图表示(ASIC 设计),或转换到 FPGA 的配置网表文件,可称为版图综合或结构综合。
综合在电子设计自动化中处于核心地位。
(B)文件是描述电路的连接关系的文件,一般以文本文件的形式存在。
英文为 netlist file格式有cdl, spice, aucdl...等5.从使用的角度来讲,EDA技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?答: EDA技术的学习主要应掌握4个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。
eda技术作业参考答案.doc

5-14library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div is port(clk,RESET: in std_logic;outl,out2:out std_logic);end div;architecture div_16 of div issignal ent: std_logic_vector(3 downto 0);beginprocess(clk)beginif reset=T thencnt<=u OOOO n;elsif (clk'event and clk=T) then cnt<=cnt+T;end if;end process;outl<=T when cnt=15 else 'O';--不等out2<=cnt(3); -正负脉宽相等end div_16;5-11library ieee;use ieee.std_logic_l 164.all;entity s_machine is port(clk:in std_logic;din:in std_logic_vector( 1 downto 0); dout:out std_logic_vector( 1 downto 0));end s_machine;architecture a of s_machine istype state is (s0,sl,s2);signal current_state,next_state:state;beginprocess(din,curret_state) -次态译码器与输出译码器描述begincase current_state iswhen sO =>讦(din = "00") then next_state <= sO; doutv="00'';elsif (din = "01") then next_state <= s2; dout<=,,10,?;elsif (din = TO") then next_state <= s2; dout<=,,lF,;end if;when si =>if (din = “00") then next_state <= si; doutv="00''; elsif(din = "01") then next_state <= sO; doutv=''00"; elsif(din = TO") then next_state <= s2; dout<=,,10,?; end if;when s2 =>讦(din = "00") then next_state <= s2; doutv="00''; elsif(din = "01") then next_state <= si; doutv="00''; elsif(din = TO") then next_state <= sO; doutv=''00"; end if;end case;End process;process(clk) -状态寄存器描述beginif (clk?event and clk== '1') then current_state<=next_state;end if;End process;End a;5-10(1)entity h_sub isport (x, y: in BIT ;diff, sub_out: out bit);end h_sub; Architecture A of h_sub isbegindiff <= x xor y; sub_out <= not X and Y;end A;ENTITY F_SUB ISPORT(X, Y, SUB_IN: IN BIT;DIFF, SUB_OUT: OUT BIT);ENDF_SUB;ARCHITECTURE ART OF F_SUB ISCOMPONENT H_SUBPORT(X, Y: IN BIT;DIFF, SUB_OUT: OUT BIT);END COMPONENT;SIGNAL SI, S2, S3: BIT;BEGINUl: H_SUB PORT MAP (X=>X, Y=>Y, DIFF=>S1, SUB_OUT=>S2);U2 : H_SUB PORT MAP (X=>S1 , Y=>SUB_IN ,DIFF=>DIFF, SUB_OUT=>S3);SUB_OUT<=S2 OR S3;END ARCHITECTURE ART;⑵.entity f^sub isport ( x, y, sub_in: in bit;diff, sub_out: out bit);end f_sub;architecture func of 匚sub isbeginprocess(x, y, sub_in)variable con: bit_vector(l downto 0);variable com: bit_vector(2 downto 0);begincom:= x & y & sub_in;if (com = “000") then con := “00";elsif (com = "Oil") then con := "01";elsif (com 二"100") then con :二"10";elsif (com 二"101") then con := "00";elsif (com = T10") then con := “00";else con := T1";end if;diff <= con(l); sub_out <= con(0);end process;end func;⑶entity subtracter isport ( a, b : in bit_vector(7 dowto 0);sub_in : in bit;diff: out bit_vector(7 dowto 0); sub_out: out bit); end subtracter;architecture stru of subtracter iscomponent H_subport ( x, y, sub_in: in bit; diff, sub_out: out bit);end component; signal sub: bit_vector(8 downto 0); begingO: for I in 0 to 7 generateu_inst: H_subbport map(a(i), b(i),sub(i),diff(i), sub(i+l));end generate;sub(0) <= sub_in;sub_out <=sub(8);end stru;5-8library IEEE:use IEEE. Std _logic_ 1164.all:use IEEE. STD_LOGIC _UNSIGNED.all:entity seq_gen isport(CIK, RESET: in STD_LOGIC:Y0: out STD_LOGIC_vector(9 downto 0)):End seq_gen;Architecture rtl of seq_gen isSignal CNT: STD_LOGIC_VECTOR(3 downto 0);beginprocess(CLK, RESET)begin〜 ifRESET=,l,thenCNT v=''0000";Elsif CLK'event and CLK=T thenIfcnt="1001''thenCNTv=”000";elseCNT<=CNT +T;End if;End if;End process ;With CNT SelectY0<=,,000000000F, when ” 0000”,“0000000010” when " 0001”,“0000000100” when ” 0010”,“0000001000” when ” 0011”,“0000010000” when ” 0100”,^^0000100000^^ when ” 0101”,“0001000000” when ” 0110”,“0010000000” when ” 0111”,4<010*******,? when ” 1000”,T 000000000’' when others ;end rtl;5-7library IEEE;use IEEE.Std _logic_ 1164.all;use IEEE.std_logic_unsigned.al 1; entity counter isport(clk,rst,ml,m0:in std_logic;y:out std_logic_vector (4 downto 0));End counter;Architecture rtl of counter isSignal sei: std_logic_vector (1 downto 0);Signal per,ent: std_logic_vector (4 downto 0);beginsel<=ml&mO;With sei Selectperv=”1001(F when “0(F,"OOOll" when “01",,,0100r, when TO","00101" when others;process(reset,clk)beginif reset=T thencnt<=n00000n;elsif (clk'event and clk=r)thenif ent = pre thencnt<=H00000u;elsecnt<=cnt+T;end if;end if;end process;y<=cnt;end rtl;2-1判断下列标示符是否合法,如果有误指出原因:16#OFA#, 10#12F#, 8#7989#, 8#356#, 2#0101010#, 74HC245,\74HC574\, CLR/RESET, \IN, 4/SCLKX, DI00% 16#OFA#10#12F# 8#7989# 8#356# 2#0101010# 以上不合法,不能以数字开头和存在#符号。
2020年智慧树知道网课《EDA技术》课后章节测试满分答案

绪论单元测试1【多选题】(10分)学习EDA技术这门课程的具体要求是()A.初步掌握基于FPGA的VLSI系统设计与实现的方法和技术,具备分析、解决实际问题的能力,具有较强的专业实践能力和创新能力。
B.较好地掌握应用EDA技术进行系统设计开发的方法,具备应用EDA技术进行综合性数字系统设计的初步能力,经过后续的综合应用实践,能够从事FPGA的设计与开发、SOPC的设计与开发以及ASIC的前端设计等工作。
C.掌握EDA技术的基本概念、基础知识;了解FPGA/CPLD的结构、工作原理、性能指标及应用选择;熟练掌握硬件描述语言VHDL的编程;熟练掌握EDA技术的开发软件及EDA实验开发系统的使用。
2【多选题】(10分)学习EDA技术这门课程,我们希望达到的学习目标是()A.基本掌握ASIC的后端设计与开发B.基本掌握ASIC的前端设计与开发C.掌握一种硬件描述语言VHDLD.基本掌握SOC的设计与开发方法E.基本掌握SOPC的设计与开发方法F.熟悉FPGA的设计与开发3【多选题】(10分)EDA技术课程的学习要点是()A.以课题为中心,以研究式教学为主要形式B.抓住一个重点(硬件描述语言编程)C.掌握两个工具(FPGA/CPLD开发软件、EDA实验开发系统的使用)D.运用四种手段(案例分析、应用设计、线上学习、上机实践)E.采用五个结合(边学边用相结合、边用边学相结合、理论与实践相结合、线上与线下相结合、课内与课外相结合)第一章测试1【单选题】(10分)EDA的中文含义是()A.计算机辅助工程设计B.计算机辅助设计C.电子设计自动化2【判断题】(10分)狭义的EDA技术,就是指以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。
EDA技术课后答案

EDA习题第一章1.1 EDA的英文全称是什么?EDA的中文含义是什么?答:EDA即Electronic Design Automation的缩写,直译为:电子设计自动化。
1.2 什么叫EDA技术?答:EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。
1.3 利用EDA技术进行电子系统的设计有什么特点?答:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。
1.4 从使用的角度来讲,EDA技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?答:EDA技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。
其中,硬件描述语言是重点。
对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。
对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解VHDL的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了VHDL语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。
对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。
eda考试题及答案

eda考试题及答案一、选择题(每题2分,共10分)1. EDA技术中的“E”代表什么?A. 电子B. 工程C. 教育D. 经济答案:B2. 在EDA软件中,以下哪个不是设计验证工具?A. 仿真器B. 综合器C. 验证器D. 测试器答案:B3. 以下哪个不是数字逻辑设计的基本元素?A. 与门B. 或门C. 非门D. 放大器答案:D4. 在EDA设计流程中,以下哪个步骤是用于优化设计的?A. 综合B. 布局C. 布线D. 时序分析答案:D5. 以下哪个不是EDA软件的功能?A. 电路图绘制B. 电路仿真C. 电路板设计D. 机械加工答案:D二、填空题(每题2分,共10分)1. EDA技术的核心是_______,它能够自动完成电路设计、验证和仿真等过程。
答案:电子设计自动化2. 在EDA设计中,_______是指在电路设计完成后,通过软件工具检查电路是否满足设计要求的过程。
答案:验证3. 电路设计的_______阶段是将电路的逻辑功能转换为可以在特定硬件上实现的物理形态。
答案:综合4. 在EDA软件中,_______是一种用于模拟电路行为的工具,它可以帮助设计者预测电路的实际工作情况。
答案:仿真器5. 电路设计的_______是指在电路板上合理布置电子元件和连接线路的过程。
答案:布局三、简答题(每题5分,共20分)1. 请简述EDA技术在现代电子设计中的重要性。
答案:EDA技术在现代电子设计中至关重要,它通过自动化的设计流程提高了设计效率,减少了人为错误,缩短了产品上市时间,并且能够处理复杂的电路设计问题,是现代电子设计不可或缺的工具。
2. 描述一下在EDA设计流程中,布局和布线阶段的主要任务。
答案:在EDA设计流程中,布局阶段的主要任务是将电路中的各个元件放置在电路板上的合适位置,以优化电路的性能和减少成本。
布线阶段则是在布局完成后,将各个元件通过导线连接起来,确保电路的电气连接正确无误,同时考虑信号完整性和电磁兼容性。
电科大20春《EDA技术》在线作业2参考答案

B.B+A和B+C
C.B+C和B+C
D.B+A和B+A
答案:B
6.下面哪个说法是错误的
A.进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B.进程语句是可以嵌套使用的
C.块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D.块语句是可以嵌套使用的
答案:B
7.符合1987VHDL标准的标识符是
C.110011
D.010011
答案:B
20.Xilinx公司开发的开发软件为
A.ISE
B.ispDesignEXPERT系列
C.QuartusⅡ
D.MaxplusⅡ
答案:A
以下内容不需要的请自行删除
试卷总分:100 得分:100
一、单选题 (共 25 道试题,共 75 分)
1.在Java中,表示换行符的转义字符是( )
A.\n
B.\f
C.\dd
D.'n'
答案:A
2.下列构造方法的调用方式中,正确的是( )。
A.被系统调用
B.由用户直接调用
C.按照一般方法调用
D.只能通过 new 自动调用
答案:D
3.在调用函数并传递参数时,将变量对应的内存位置传递给函数,而函数会根据内存位置取得参数的值,是指哪种方式( )
A.返回值
A.Foundation
B.ispDesignEXPERT
C.MaxplusⅡ
D.ISE
答案:C
14.下面哪个选项不是信号和变量的不同特性
A.赋值方式不同
B.定义位置不同
电子科技大学《EDA技术》20春期末考试

电子科技大学《EDA技术》20春期末考试
(单选题)1: 在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用()表示的。
A: 小写字母和数字
B: 大写字母数字
C: 大或小写字母和数字
D: 全部是数字
正确答案: B
(单选题)2: 在VHDL中,IF语句中至少应有1个条件句,条件句必须由()表达式构成。
A: BIT
B: STD_LOGIC
C: BOOLEAN
D: INTEGER
正确答案: C
(单选题)3: “a=4’b1100,b=4’bx110”选出正确的运算结果()。
A: a&b=0
B: a&&b=1
C: b&a=x
D: b&&a=x
正确答案: B
(单选题)4: VHDL的字符是以( )括起来的数字、字母和符号。
A: 单引号
B: 双引号
C: 括号
D: 方括号
正确答案: A
(单选题)5: STD_LOGIG_1164中定义的高阻是字符()。
A: X
B: x
C: z
D: Z
正确答案: D
(单选题)6: 以下不属于EDA技术的特点是()。
A: 用软件方式设计硬件
B: 用硬件方式设计软件
C: 设计过程中可仿真
D: 系统可现场编程
正确答案: D
(单选题)7: 过程调用前需要将过程首和过程体装入()中。
EDA技术与应用课后习题答案(2)

EDA技术与应用课后习题答案(2)EDA技术与应用课后习题答案大全END IF;END PROCESS;PR02:PROCESS(s1)BEGINIF s1=”0” THEN outy<=a1;ELSE outy<=tmp;END IF;END PROCESS;END ARCHITECTURE ONE;END CASE;4-4.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。
4-4.答案LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MULTI ISPORT(CL:IN STD_LOGIC; --输入选择信号CLK0:IN STD_LOGIC; --输入信号OUT1:OUT STD_LOGIC);--输出端END ENTITY;ARCHITECTURE ONE OF MULTI ISSIGNAL Q : STD_LOGIC;BEGINPR01: PROCESS(CLK0)BEGINIF CLK ‘EVENT AND CLK=’1’THEN Q<=NOT(CL OR Q);ELSEEND IF;END PROCESS;PR02: PROCESS(CLK0)BEGINOUT1<=Q;END PROCESS;END ARCHITECTURE ONE;END PROCESS;4-5.给出1位全减器的VHDL描述。
要求:(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in 是借位输入。
(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x – y - sun_in = diffr) 4-5.答案底层文件1:or2a.VHD实现或门操作LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY or2a ISPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END ENTITY or2a;ARCHITECTURE one OF or2a ISBEGINc <= a OR b;END ARCHITECTURE one;底层文件2:h_subber.VHD实现一位半减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY h_subber ISPORT(x,y:IN STD_LOGIC;diff,s_out::OUT STD_LOGIC);END ENTITY h_subber;ARCHITECTURE ONE OF h_subber ISSIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGINxyz <= x & y;PROCESS(xyz)BEGINCASE xyz ISWHEN "00" => diff<='0';s_out<='0';WHEN "01" => diff<='1';s_out<='1';WHEN "10" => diff<='1';s_out<='0';WHEN "11" => diff<='0';s_out<='0';WHEN OTHERS => NULL;END CASE;END PROCESS;END ARCHITECTURE ONE;顶层文件:f_subber.VHD实现一位全减器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY f_subber ISPORT(x,y,sub_in:IN STD_LOGIC;diffr,sub_out:OUT STD_LOGIC);END ENTITY f_subber;ARCHITECTURE ONE OF f_subber IS COMPONENT h_subberdiff,S_out:OUT STD_LOGIC);END COMPONENT;COMPONENT or2aPORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC);END COMPONENT;SIGNAL d,e,f: STD_LOGIC;BEGINu1: h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);u2: h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f);u3: or2a PORT MAP(a=>f,b=>e,c=>sub_out);END ARCHITECTURE ONE;END ARCHITECTURE ART;4-6.根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。
eda技术考试题及答案

eda技术考试题及答案一、选择题(每题2分,共20分)1. EDA技术中的"E"代表什么?A. ElectronicsB. EducationC. EngineeringD. Economy答案:A2. 在EDA技术中,以下哪个不是硬件描述语言?A. VHDLB. VerilogC. HTMLD. SystemVerilog答案:C3. EDA工具主要用于什么领域?A. 软件开发B. 硬件设计C. 数据分析D. 网络管理答案:B4. 以下哪个不是EDA工具的功能?A. 逻辑综合B. 时序分析C. 代码调试D. 性能优化答案:C5. 在EDA技术中,FPGA代表什么?A. Field Programmable Gate ArrayB. Fixed Programmable Gate ArrayC. Flexible Programmable Gate ArrayD. Functional Programmable Gate Array答案:A6. 以下哪个是EDA技术中用于验证的工具?A. MATLABB. ModelSimC. AutoCADD. Photoshop答案:B7. EDA技术中的"A"代表什么?A. AnalysisB. AlgorithmC. ApplicationD. Architecture答案:A8. 在EDA技术中,以下哪个不是仿真工具?A. Xilinx ISEB. Cadence VirtuosoC. Synopsys VCSD. Mentor Graphics ModelSim答案:A9. EDA技术中,以下哪个是用于布局和布线的软件?A. Quartus IIB. Altium DesignerC. MATLABD. Eclipse答案:B10. 以下哪个不是EDA技术中的测试方法?A. 功能仿真B. 时序仿真C. 静态时序分析D. 动态时序分析答案:D二、填空题(每题2分,共20分)1. EDA技术的核心是______,它允许设计者在不实际制造硬件的情况下测试和验证设计。
EDA技术与应用课后习题答案

EDA技术与应用课后习题答案EDA技术与应用课后习题答案对有关问题所作的解答的结果;对提出的问题所做的解答,练习的答案。
以下是店铺为大家整理的EDA技术与应用课后习题答案,仅供参考,希望能够帮助大家。
第一章1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。
FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。
FPGA 和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。
1-2与软件描述语言相比,VHDL有什么特点? P6答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。
综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。
综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
1-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。
电子科大20春《EDA技术》在线作业2

电子科大20春《EDA技术》在线作业2
试卷总分:100 得分:100
一、单选题(共20 道试题,共100 分)
1.在VHDL的CASE语句中,条件语句中的“=>”不是操作符号,其作用相当于()。
A.IF
B.THEN
C.AND
D.OR
答案:B
2.CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个()。
A.PAL
B.GAL
C.FPGA
D.EPROM
答案:B
3.在VHDL中,( )的数据传输是立即发生的,不存在任何延时的行为。
A.信号
B.常量
C.数据
D.变量
答案:D
4.VHDL程序基本结构包括
A.实体、子程序、配置
B.实体、结构体、配置、函数
C.结构体、状态机、程序包和库
D.实体、结构体、程序包和库
答案:D
5.下例程序执行后,X和Y的值分别为。
process(A,B,C) variable D : std_logic; begin D := A; X <= B+D; D := C; Y <= B+D; end process;
A.B+C和B+A
B.B+A和B+C
C.B+C和B+C
D.B+A和B+A
答案:B
6.下面哪个说法是错误的
A.进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B.进程语句是可以嵌套使用的
C.块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D.块语句是可以嵌套使用的。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子科大20春《EDA技术》在线作业2
红字部分为答案!
单选题
1.在VHDL的CASE语句中,条件语句中的“”不是操作符号,其作用相当于()。
A.IF
B.THEN
C.AND
D.OR
2.CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个()。
A.PAL
B.GAL
C.FPGA
D.EPROM
3.在VHDL中,的数据传输是立即发生的,不存在任何延时的行为。
A.信号
B.常量
C.数据
D.变量
4.VHDL程序基本结构包括
A.实体、子程序、配置
B.实体、结构体、配置、函数
C.结构体、状态机、程序包和库
D.实体、结构体、程序包和库
5.下例程序执行后,X和Y的值分别为。
processA,B,C variable D std_logic; begin D A; X BD; D C; Y BD; end process;
A.BC和BA
B.BA和BC
C.BC和BC
D.BA和BA
6.下面哪个说法是错误的
A.进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B.进程语句是可以嵌套使用的
C.块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D.块语句是可以嵌套使用的
7.符合1987VHDL标准的标识符是
A.2A
B.A2
C.A_2
D.22
8.EDA设计流程包括设计准备、()、设计处理和器件编程四个步骤。
A.总体设计。