基于555定时器的波形信号发生器

基于555定时器的波形信号发生器
基于555定时器的波形信号发生器

学院

课程设计报告

电子器件市场调研与系统分析设计实践

专业电气工程及其自动化

班级

姓名

学号

指导教师

2016年12 月

学院课程设计任务书

课程名称:电子器件市场调研与系统分析设计实践指导教师(签名):班级:姓名:学号:

指导教师评语及成绩评定表

指导教师签字:

年月日

基于555定时器的波形发生器

摘要

各种电器设备要正常工作,常常需要各种波形信号的支持。电器设备中常

用的信号有正弦波、矩形波、三角波等。在电器设备中,这些信号是由波形产生和变换电路来提供的。这种能够产生多种波形,如三角波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器产生的各种波形能满足现代测量、通信、自动控制和热加工、音视频设备及数字系统等对各种信号源的需求。例如设计和测试、汽车制造、生物医药、传感器仿真、制造模型等。在生产实践和科技领域中有着广泛的应用。

关键字:正弦波,矩形波,三角波,函数信号发生器

目录

一设计要求.............................................. - 1 - 二设计方案与论证......................................... - 1 - 三设计原理及电路图....................................... - 3 - 四元器件清单............................................ - 5 - 五元器件识别与检测....................................... - 6 -

5.1 电阻.............................................. - 6 -

5.2 芯片.............................................. - 7 - 六软件编程与调试....................................... - 10 - 总结与致谢.............................................. - 14 - 参考文献................................................ - 14 - 实物图.................................................. - 15 -

一设计要求

1、在给定的±6V直流电源电压条件下,使用555芯片和设计并制作一个波形信号发生电路。

2、可以产生方波、三角波、正弦波三种波形。

二设计方案与论证

方案一

主要是应用集成运放LM324,其芯片的内部结构是由4个集成运放所组成的,通过RC电桥可产生正弦波,通过滞回比较器能调出方波,并再次通过积分电路就可以调试出三角波,此电路方案能实现基本要求和扩展总分的功能,电路较简单,调试方便,是一个优秀的可实现的方案。

方案二

利用ICL8038芯片构成8038集成函数发生器。

8038集成函数发生器是一种多用途的波形发生器,可以用来产生正弦波、方波、三角波和锯齿波,其振荡频率可通过外加的直流电压进行调节,所以是压控集成信号产生器。由于外接电容C的充、放电电流由两个电流源控制,所以电容C两端电压uc的变化与时间成线形关系,从而可以获得理想的三角波输出。8038电路中含有正弦波变换器,故可以直接将三角波变成正弦波输出。另外还可以将三角波通过触发器变成方波输出。该方案的特点是十分明显的:

⑴线性良好、稳定性好;

⑵频率易调,在几个数量级的频带范围内,可以方便地连续地改变

频率,而且频率改变时,幅度恒定不变;

⑶不存在如文氏电桥那样的过渡过程,接通电源后会立即产生稳定的波形;

⑷三角波和方波在半周期内是时间的线性函数,易于变换其他波形。

方案三:

可以按照方波——三角波——正弦波的顺序来设计电路,其中,方波可以通过模电中的方波发生电路来产生,也可以通过数电中的555多谐振荡电路来产生,方波到三角波为积分的过程,三角波到正弦波可以通过低通滤波来实现,也可以利用差分放大器的传输非线性来实现或者通过折现法来实现。

可行性分析:

纵观以上N种方案,对比如下,首先排除第二种用集成芯片的方法,因为这种方法对设计的要求太低;其次分析方案一可得其RC桥式正弦震荡电路的占空比受R和C共同影响,调节频率时需要调节的元器件参数太多,比较繁琐,并且此震荡电路的频率也不是很好的满足设计的要求。所以综上所述,选择方案三来实现本次的课程设计:555多谐振荡器的频率很好计算和调节,并且输出的波形比较准确;波到三角波的转化可通过简单RC积分电路来实现;角波到正弦波可通过简单RC低通滤波器来实现也可通过折现法或者差分法来实现。

三设计原理及电路图

如下图所示电路可产生方波并输出。其中555定时器接成多谐振荡器工作形式,C2为定时电容,C2的充电回路是R2→R3→RP→C2;C2的放电回路是C2→RP→R3→IC的7脚(放电管)。由于R3+RP>>R2,所以充电时间常数与放电时间常数近似相等,由IC的3脚输出的是近似对称方波。按图所示元件参数,其频率为1kHz左右,调节电位器RP可改变振荡器的频率。

产生方波,如图3.1所示:

图3.1

方波信号经R4、C5积分网络后,输出三角波,如图3.2所示:

图3.2

三角波再经R5、C6积分网络,输出近似的正弦波,如图3.3所示:

图3.3

如图所示电路可同时产生方波、三角波、正弦波并输出,该信号发生器电路简单、成本低廉、调整方便。其产生的波形顺序如图3.4所示:

图3.4

四 元器件清单

表4.1

五元器件识别与检测

5.1 电阻

1.电阻的识别

单位:欧姆(Ω)

1MΩ=1000kΩ1kΩ=1000Ω

2.电阻的种类:

贴片电阻、金属膜电阻、碳膜电阻、水泥电阻、特殊电阻

(1)贴片电阻:

符号:“R”,“RN”(黑底白字),贴片电阻分为单个贴片电阻和排阻

2)金属膜电阻:

符号:“RJ”,外型小,功率小。

(3)碳膜电阻:

符号:“RT”,外型大,功率大一些。

(4)水泥电阻:外型更大,功率最大,5W,10W

3、读取阻值:

贴片电阻上面字如:103、472等,数值的前两位是有效数,第三位是倍数:

103有效数是10,3是倍数,它的阻值是10Ω*103=10kΩ

472有效数是47,2是倍数,它的阻值是10Ω*102=4.7kΩ

四位数电阻:前三位为有效数,第四位为倍数

含有字母的电阻:R39、3R3,R在这里是小数点的意思。

色环电阻读取阻值:

四道色环,一、二道为有效数,三道为倍数,四道为误差值R=AB*10C 5.2 芯片

555定时器的功能:

555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发器和放电管的状态在电源与地之间加上电压,当5脚悬空时,则电压比较器C1的同相输入端的电压为2VCC/3,C2的反相输入端的电压为VCC若触发输入端TR的电压小于VCC/3,则比较器C2的输出为0,可使RS触发器置1,使输出端OUT=1。如果阈值输入端TH的电压大于2VCC/3,同时TR端的电压大于VCC/3,则C1的输出为0,C2的输出为1,可将RS触发器置0,使输出为0电平。

它的各个引脚功能如下:

1脚:外接电源负端VSS或接地,一般情况下接地。

3脚:输出端Vo2脚:低触发端

4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

6脚:TH高触发端

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5~16V,CMOS

型时基电路的范围为3~18V。一般用5V。Vi1(TH):高电平触发端,简称高触发端,又称阈值端,标志为TH。

555定时器内含一个由三个阻值相同的电阻R组成的分压网络,产生

1VCC和32VCC两个基准电压;两个电压比较器C1、C2;一个由与非门G1、3

G2组成的基本RS触发器(低电平触发);放电三极管T和输出反相缓冲器G3。

Rd是复位端,低电平有效。复位后, 基本RS触发器的Q端为1(高电平),经反相缓冲器后,输出为0(低电平)。

分析555定时器的内部电路图可知:在555定时器的VCC端和地之间加上电压,并让VCO悬空,则比较器C1的同相输入端接参考电压32VCC,比较器C2反相输入端接参考电压31VCC ,为了学习方便,我们规定:当TH端的电压>32VCC时,写为VTH=1,当TH端的电压<32VCC时,写为VTH=0。

当TR端的电压>31VCC时,写为VTR=1,当TR端的电压<31VCC时,写为VTR=0。

低触发:当输入电压Vi2<31VCC 且Vi1<32VCC时,VTR=0,VTH=0,比较器C2输出为低电平,C1输出为高电平,基本RS触发器的输入端S=0、R=1,使Q=1,Q=0,经输出反相缓冲器后,VO=1,T截止。这时称555定时器“低触发”;

保持:若Vi2>31VCC 且Vi1<32VCC,则VTR=1,VTH=0,S=R=1,基本RS触发器保持,VO和T状态不变,这时称555定时器“保持”。

高触发:若Vi1>32VCC,则VTH=1,比较器C1输出为低电平,无论C2输出何种电平,基本RS触发器因R=0,使Q=1,经输出反相缓冲器后,VO=0;T导通。这时称555定时器“高触发”。

VCO为控制电压端,在VCO端加入电压,可改变两比较器C1、C2的参考电压。正常工作时,要在VCO和地之间接0.01μF(电容量标记为103)电容。放电管Tl的输出端Dis为集电极开路输出。555定时器的控制功能说明见表5.1:

表5.1

555定时器的内部电路结构如下图5.2所示:

图5.2

555定时器的内部电路框图及逻辑符号和管脚排列分别如下图5.3所示:

图5.3

六软件编程与调试

(一)进行MULTISIM电路实验仿真,仿真波形如下图6.1所示:

图6.1 (二)三角波仿真,如下图6.2所示:

图6.2

(三)正弦波仿真,如下图6.3所示:

图6.3

总结与致谢

参考文献

[1]童诗白、华成英.模拟电子技术基础[M].4版.北京:高等教育出版社,2006

[2]黄智伟.基于NI Multisim 的电子电路计算机仿真设计与分析[M].4版.北京:电子工业出版社,2001

[3]闫石.数字电子技术基础[M].5版.北京:高等教育出版社,2005

实物图

555信号发生器

学年论文(课程论文、课程设计) 题目:函数信号发生器 小组成员: 所在学院:信息科学与工程学院 指导教师: 职称:讲师

2011 年12 月24 日

背景 函数信号发生器又称为信号源,在生产实践和科技领域中有着广泛的应用,能够产生多种波形,如三角波、方波、正弦波的电路被称为函数信号发生器。在通信、广播、电视系统,在工业、农业、生物医学等领域内,函数信号发生器在实验室和设备检测中具有十分广泛的应用。

方波——三角波——正弦波函数信号发生器 一、 设计要求 1. 设计、组装、调试方波、三角波、正弦波发生器 2. 输出波形:方波、三角波、正弦波 二、 设计方案 2.1实验原理 (1)方案一原理框图 图1—— 方波、三角波、正弦波信号发生器的原理框图 首先由555定时器组成的多谐振荡器产生方波,然后由积分电路将方波转化为三角波,最后用低通滤波器将方波转化为正弦波,该方案调试容易。 (2)方案二原理框图 图2—— 正弦波、方波、三角波信号发生器的原理框图

RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法,电路框图如上。先通过RC正弦波荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。此电路具有良好的正弦波和方波信号。但经过积分器电路产生的同步三角波信号,存在难度。原因是积分器电路的积分时间常数是不变的,而随着方波信号频率的改变,积分电路输出的三角波幅度同时改变。若要保持三角波幅度不变,需同时改变积分时间常数的大小。 2.2函数发生器的方案选择 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题未采用单片函数发生器模块8038。 方案一的电路结构、思路简单,运行时性能稳定且能较好的符合设计要求,且成本低廉、调整方便,关于输出正弦波波形的变形,可以通过可变电阻的调节来调整。而方案二,关于三角波的缺陷,不是能很好的处理,且波形质量不太理想,且频率调节不如方案一简单方便。综上所述,我们选择方案一。 2.3方波发生电路的工作原理和论证 图3——由555定时器组成的多谐振荡器 利用555与外围元件构成多谐振荡器,来产生方波的原理。

555定时器产生三种波形发生器

目录 摘要 (2) 第一章方案提出 (3) 第二章电路的基本组成及工作原理 (4) 第一节系统组成框图 (4) 第二节方波的产生 (5) 第三节由方波输出为三角波(利用积分器来实现) (7) 第四节由三角波输出正弦波 (9) 第三章 555定时器的介绍 (10) 第一节电路组成 (11) 第二节引脚的作用 (12) 第三节基本功能 (13) 第四章元件清单 (15) 第五章总结 (16) 附录及参考文献 (17) 第一节附录 (17) 一多谐振荡器——产生矩形脉冲波的自激振荡器 (17) 二电路原理图 (19) 第二节参考文献 (20)

摘要 各种电器设备要正常工作,常常需要各种波形信号的支持。电器设备中常用的信号有正弦波、矩形波、三角波和锯齿波等。在电器设备中,这些信号是由波形产生和变换电路来提供的。波形产生电路是一种不需外加激励信号就能将直流能源转化成具有一定频率、一定幅度和一定波形的交流能量输出电路,又称为振荡器或波形发生器。 在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 波形发生器通过与波形变换电路相结合,它能产生正弦波、矩形波、三角波和阶梯波等各种波形,能满足现代测量、通信、自动控制和热加工、音视频设备及数字系统等对各种信号源的需求。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器等。 关键字:方案确定、参数计算、信号、发生器等。

555芯片设计占空比可调的方波信号发生器

占空比可调的方波信号发生器 三、实验原理: 1、555电路的工作原理 (1)555芯片引脚介绍 图1 555电路芯片结构和引脚图 555定时器是一种应用极为广泛的中规模集成电路,该电路使用灵活、方便,只需外接少量的阻容原件就可以构成单稳、多谐和施密特触发器。因而广泛用于信号的产生、变换、控制和检测。 1脚:外接电源负极或接地(GND)。 2脚:TR触发输入。 3脚:输出端(OUT或Vo)。 4脚:RD复位端,移步清零且低电平有效,当接低电平时,不管TR、TH输

入什么,电路总是输出“0”。要想使电路正常工作,则4脚应与电源相连。 5脚:控制电压端CO(或VC)。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 6脚:TH 高触发端(阈值输入)。 7脚:放电端。 8脚:外接电源VCC (VDD )。 (2)555功能介绍 555定时器的功能主要是由两个比较器C1和C2的工作状况决定的。由图1可知,当V6>VA 、V2>VB 时,比较器C1的输出VC1=0、比较器C2的输出VC2=1,基本RS 触发器被置0,TD 导通,同时VO 为低电平。 当V6VB 时,VC1=1、VC2=1,触发器的状态保持不变,因而TD 和输出的状态也维持不变。 当V6V A V B >V B 不变 导通

555定时器工作原理及应用引脚图 (2)

555定时器引脚图及其简单应用 本文主要介绍了555定时器的工作原理及其在单稳态触发器、多谐振荡器方面的应用。 关键词:数字——模拟混合集成电路;施密特触发器;波形的产生与交换 555芯片引脚图及引脚描述 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。 7脚称放电端,与3脚输出同步,输出电平一致,但7脚并不输出电流,所以3脚称为实高(或低)、7脚称为虚高。 1概述 1.1 555定时器的简介 555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到

555定时器构成的方波、三角波、正弦波发生器 设计报告

电子技术课程设计说明书 题目:555定时器构成得方波、三角波、正弦波发生器系部:歌尔科技学院 专业: 班级:2013级1班 学生姓名: 学号: 指导教师: 年月日

目录 1 设计任务与要求 (1) 2设计方案 (1) 2。1设计思路 (1) 2、1。1 方案一原理框图?1 2、1.2 方案二原理框图?2 2。2 函数发生器得选择方案?2 2、3 实验器材 (3) 3 硬件电路设计?4 3、1 555定时器得介绍............................................ 4 3。2 电路组成..................................................... 43。3 引脚得作用. (5) 3、4 基本功能?5 4 主要参数计算与分析 (7) 4。1 由555定时器产生方波?7 4、2 由方波输出为三角波 (9) 4。3 由三角波输出正弦波 (10) 5 软件设计 ......................................................... 12 5、1 系统组成框图?12 5。2元件清单................................................. 1314 6 调试过程? 6.1 方波—-—三角波发生电路得安装与调试 (14) 6。1。1按装方波——三角波产生电路?14 6、1。2调试方波——三角波产生电路 (14) 6.2 三角波-—-正弦波转换电路得安装与调试 (14) 6。2、1按装三角波——正弦波变换电路 (14) 6、2、2 调试三角波——正弦波变换电路?14 6、2。3总电路得安装与调试 ................................. 15 6。2、4调试中遇到得问题及解决得方法?15

555定时器的典型应用电路教学文案

555定时器的典型应 用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2 V CC/3时。代入过渡过程公式[1-p205] 几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2 V CC/3,低电

平必须小于 V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形 震荡周期的确定: 根据u c(t)的波形图可以确定振荡周期,T=T1+T2 先求T1,T1对应充电,时间常数τ1=(R A+R B)C,初始值为u c(0)= V CC/3,无穷大值u c(∞)=V CC,当t= T 1时,u c(T1)=2 V CC/3,代入过渡过程公式,可得 T1=ln2(R A+R B)C≈0.7(R A+R B)C 求T2,T2对应放电,时间常数τ2=R B C,初始值为u c(0)=2 V CC/3,无穷大值u c(∞) =0V,当t= T2时,u c(T 2)= V CC/3,代入过渡过程公式,可得T2=ln2R B C≈0.7R B C 振荡周期 T= T1+T2=≈0.693(R A+2R B)C 振荡频率

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

555定时器芯片工作原理

555定时器芯片工作原理,功能及应用 -------------------------------------------------------------------------------- - 555定时器芯片工作原理,功能及应用 555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。 一、555定时器 555定时器产品有TTL型和CMOS型两类。TTL型产品型号的最后三位都是555,CMOS 型产品的最后四位都是7555,它们的逻辑功能和外部引线排列完全相同。 555定时器的电路如图9-28所示。它由三个阻值为5k?的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电晶体管T、与非门和反相器组成。 电压比较器的功能:比较两个电压的大小(用输出电压的高或低电平,表示两个输入电压的大小关系): 当”+”输入端电压高于”-”输入端时,电压比较器输出为高电平; 当”+”输入端电压低于”-”输入端时,电压比较器输出为低电平 图9-28 555定时器原理图 分压器为两个电压比较器C1、C2提供参考电压。如5端悬空,则比较器C1的参考电压为,加在同相端;C2的参考电压为,加在反相端。 是复位输入端。当=0时,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。正常工作时,=1。

u11和u12分别为6端和2端的输入电压。当u11>,u12> 时,C1输出为低电平,C2输出为高电平,即=0,=1,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。 当u11<,u12< 时,C1输出为高电平,C2输出为低电平,=1,=0,基本RS触发器被置1,晶体管T截止,输出端u0为高电平。 当u11<,u12> 时,基本RS触发器状态不变,电路亦保持原状态不变。 综上所述,可得555定时器功能如表9-13所示。 表9-13 555定时器功能表 输入输出 复位u11 u12 输出u0 晶体管T 0 ××0 导通 1 > > 0 导通 1 < < 1 截止 1 < > 保持保持 一、555定时器的应用 1.单稳态电路 前面介绍的双稳态触发器具有两个稳态的输出状态和,且两个状态始终相反。而单稳态触发器只有一个稳态状态。在未加触发信号之前,触发器处于稳定状态,经触发后,触发器由稳定状态翻转为暂稳状态,暂稳状态保持一段时间后,又会自动翻转回原来的稳定状态。单稳态触发器一般用于延时和脉冲整形电路。 单稳态触发器电路的构成形式很多。图9-29(a)所示为用555定时器构成的单稳态触发器,R、C为外接元件,触发脉冲u1由2端输入。5端不用时一般通过0.01uF电容接地,以防干扰。下面对照图9-29(b)进行分析。

电子测量综合实验报告555信号发生器

电子测量综合实验报告 555信号发生器 报告人: 学号: 专业: 指导老师: 2010年 12 月 10 日 目录 一、实验目的: 二、实验任务与要求: 三、设计方案论证: 四、整体电路设计和分析计算

五、电路仿真分析 六、电路安装与调试 七、实验结果和误差分析 八、实验总结 九、附录:元器件清单/程序清单 一、实验目的 1、将电子测量课程所学的测量原理、数据处理、误差分析等知识用于实践,学 以致用; 2、巩固模电、数电等课程知识将其用于整个综合实验的分析计算过程; 3、熟悉各测量仪表的使用,提高实际动手操作能力。 二、实验任务与要求 1、制成的555信号发生器能产生矩形波、三角波、正弦波三种波形; 2、该信号发生器频率和幅值可调; 3、各误差控制在合理范围内。 三、设计方案论证 1、实验方案 本信号发生器使用555芯片作为多谐振荡器产生矩形波,通过积分形成三角波,再经RC低通滤波形成正弦波。电路原理图如下 2、关于555芯片

上学期的数电课程就学习了555芯片。该芯片是模电和数电相结合的中规模集成电路,设计十分巧妙,广泛用于信号的产生、变换、控制与检测。在数电中我们学习了由其构成的施密特触发器、单稳态触发器、多谐振荡器。关于555芯片原理、多谐振荡器原理,数电课已学过,此处不再赘述。 四、 整体电路设计和分析计算 1、电路各部分功能分析 1、发光二极管VD 为电源指示灯; 2、C1为电源滤波电容; 3、C2为定时电容,C2的充电回路是R2→R3→RP →C2; 4、C2的放电回路是C2→RP →R3→555的7脚(通过放电三极管); 5、隔直电容,还可以隔离前后网络; 6、积分电容,将矩形波积分产生三角波; 7、低通滤波积分网络,滤除三角波中的高中频成分,并再次积分产生近 似正弦波; 2、理论分析计算 (1)电容C2充电所需的时间为: Tph=(R3+R2+RP)C2㏑2 电容C2放电所需的时间为: Tpl=(R3+RP)C2㏑2 占空比= 1Tph Tp Tph + 振荡频率111[22(3)]2ln 2f Tp Tph R R RP C ==+++ 其中电位器RP 阻值为0至47K Ω

555定时器声光报警电路 课程设计书

555定时器声光报警电路课程设计书

苏州市职业大学 课程设计说明书 名称555定时器声光报警电路 2011年12月12日至2011年12月16日共1 周 院系电子信息工程系 班级10电气4班 姓名齐国昀 学号107301427 系主任张红兵 教研室主任邓建平 指导教师邓建平

目录 第一章绪论 (1) 第二章555定时器声光报警电路设计 (3) 2.1 硬件组成 (3) 2.2 电路原理图 (3) 2.3 电路原 (3) 2.4 性能指标 (3) 第三章主要元器件原理及相关计算 (4) 3.1.主要元器件介绍 (4) 3.1.1 555定时器 (4) 3.1.2 555定时器的电路结构及其功能 4 3.1.3 555定时器的应用分类 (5) 3.2 测量值 (6) 3.3电位器 (6) 3.3.1电位器的作用及特点 (6) 3.4蜂鸣器 (7) 3.4.1蜂鸣器的结构原理 (7) 3.5 发光二极管 (8) 3.6 相关性能指标计算 (8) 第四章焊接及调试过程与注意点 (9) 4.1安装及焊接步骤 (9) 4.1.1查找资料 (9)

4.1.2 准备工具、检测元器件 (9) 4.1.3焊接 (9) 4.2调试及调试后的波形 (10) 第五章心得体会 (11) 第一章绪论 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、

555定时器的原理及三种应用电路

实验10 555定时器的原理及三种应用电路 「、实验目的 (1) 掌握555定时器的电路结构、工作原理。 (2) 熟悉555定时器的功能及应用。 :■、实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 CB555定时器;100Q ~100k Q电阻;0.01~100卩F电容;1k Q和5k Q电位器; 发光二极管或蜂鸣器。 三、实验内容 (1)按图2-10-3连接施密特触发器电路,分别输入正弦波、锯齿波信号,观察并记录输出输入波形。 1?实验原理 当输入电压《::」V cc时,=V TR:::'CC V。为高电平 3 3 1 2 当-V cc : V i:-时,乂保持高电平。 3 3 2 2 当V i ?—V CC,V TH -V TR -V cc 时,V o 为低电平。 3 3 1 2 V由大变小时,即-v cc : V :-时,V)保持低电平。 3 3 一旦V「:-V cc,则V o又回到高电平。 3 2?仿真电路如图:

3?实验结果: 输入正弦波: 输入锯齿波:

(2)设计一个驱动发光二极管的定时器电路,要求每接收到负脉冲时,发光管持续点亮秒后熄灭。 2 1?实验原理: 由555定时器构成单稳态触发器,由单稳态触发器的功能可知,当输入为一个负脉冲 时,可以输出一个单稳态脉宽T W,且T W=1.1RC。所以想要使发光二极管接收到负脉冲时, 持续点亮2S,即要使T W=2S所以,需选定合适的R、C值。选定R、C时,先选定C的值 为100uF,然后确定R的值为18.2k Q。 2.仿真电路如图: 波形图为:

若是1秒或者是5秒。只需改变R 与C 的大小,使得脉冲宽度 T=1.1RC 分别为1或是5 即可。1 秒时: C=1OOuF, R=9.1k Q 5 秒时:C=1OOuF , R=45.5k Q 。 (3) 按图 2-10-7连接电路,取 R 仁1k Q , R2=10k Q ,C 仁0.1卩F,C2=0.01卩F ,观察、记录 V Cr 、V O 的同步波形,测出 V 。的周期并与估算值进行比较。改变参数 R1=15k Q , R2=10k Q ,C1=0.033卩F,C2=0.1卩F ,用示波器观察并测量输出端波形的频率。 经与理论估算值比较, 算出频率的相对误差值。 1?实验原理 555定时器构成多谐振荡器。 1 当加电后,V cc 通过R |,R 2 对R 充电,充电开始时V Cr =V TH =V TR £-V cc ,所以 V O =1。 3 1 2 当V Cr 上升到-V cc

555定时器温度控制电路设计要点

内容摘要 在日常的生产与生活中,温度是一个非常重要的过程变量,因为它直接影响燃烧、化学反应、发酵、烘烤、煅烧、蒸馏、浓度、挤压成形、结晶以及空气流动等物理和化学过程。所以人们需要用到良好的温度检测及控制装置系统来解决这些问题。本文介绍了采用A/D转换、555定时器、AT89C51芯片以及DS1620温度传感器等组成的温度控制系统的设计方法和工作原理。能够通过传感器对温度的感应自动调节加热功率的大小,并且在解决温度检测的基础上,通过555定时器完成对温度的特殊控制。 本设计应用性比较强,设计系统可以作为温度监控系统,如果稍微改装可以做热水器温度调节系统、实验室温度监控系统等等。课题主要任务是完成环境温度检测,利用单片机实现温度调节并通过计算机实施温度监控。设计后的系统具有操作方便,控制灵活等优点。 本设计系统包括温度传感器,A/D转换模块,温度传感器模块,和555定时器,AT89C51芯片等。文中对每个部分功能、实现过程作了详细介绍。整个系统的核心是以555定时器进行温度监控,完成了课题所有要求。 索引关键词:自动控制系统温度传感器 MCS-51 555定时器

目录 第一章绪论 (1) 1.1研究温度控制系统的意义 (1) 1.2 温度控制系统中传感器 (1) 1.3 温度控制系统设计要点 (1) 1.4 温度控制系统设计内容 (1) 第二章硬件系统的构成 (2) 2.1 AT89C51概况 (2) 2.2功能特性概述 (2) 2.3引角功能说明 (2) 2.4时钟振荡器 (4) 2.5空闲节电模式 (4) 2.6掉电模式 (4) 2.7传感器概述 (4) 第三章数字温度测控芯片DS1620的应用 (4) 3.1 概述 (4) 3.2 引脚功能说明 (5) 3.3 操作和控制 (6) 3.4 DS1620有两种操作模式 (6) 3.5 555定时器概述 (8) 3.6 电路图 (10) 后记 (11) 参考文献 (12)

函数信号发生器-课程设计2.

长安大学 电子技术课程设计 课题名称函数信号发生器 班级 __******____ 姓名 指导教师 *** 日期 本次电子技术课程设计是指通过所学知识并扩展相关知识面,设计出任务所要求功能的电路,利用计算机辅助设计的电路仿真,检测并调整电路,设计功能完整的电路图。我们所选择的课设题目是函数信号发生器。函数发生器一般是指能自动产生正弦波、方波、三角波的电压波形的电路或者仪器。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。 在资料收集后,将设计过程分为三部分:一是系统模块设计,设计电路的系统思想,设计出能满足电路功能的各个模块,画出系统的框图。二是针对各个模块分别设计电路的各个具体模块的具体电路,并且分别进行仿真和改进。三是将所有的模块综合在一起,画出系统总图,并用multisim 软件进行仿真,针对仿真过程中出现的一些问题仔细检查,对比各个方案的优点和缺点,选出最佳的方案,修改不完善的部分。 最后,对此次课程设计进行总结,反思自己在各个方面的不足,对设计方案中的各个思想进行归纳总结,比较各种方案的优缺点,总结每种设计方案的应用领域和使用范围,为以后得学习实践提供经验。最终提高我们的学习和动手能力。 前言.......................................................................................2 摘要 (4)

第一章数信号发生器系统概述 (5) 1.1总体设计方案论证及选择 (5) 1.2函数信号发生器总体方案框图 (5) 第二章单元电路设计分析 (6) 2.1 信号发电路设计框图 (6) 2.2方波发生电路 (7) 2.3方波——三角波转换电路 (8) 2.4三角波——正弦波转换电路 (9) 2.5.5数字显示输出信号频率和电压幅值 (11) 第三章电路的安装与调试 (15) 3.1方波产生的结果 (15) 3.2方波转换为三角波的结果 (15) 3.3三角波转换为正弦波的结果 (16) 3.4数字显示频率和幅值的结果 (16) 第四章结束语........................................................................ 17 参考文献.............................................................................. 17 附录一器件清单列表............................................................... 18 附录二总体设计图............................................................... 18 收获及体会..............................................................................19 鸣谢 (20)

555定时器及其应用

9.1 图题9.1是用两个555定时器接成的延时报警器。当开关S 断开后,经过一定的延迟时间后,扬声器开始发声。如果在延迟时间内开关S 重新闭合,扬声器不会发出声音。在图中给定参数下,试求延迟时间的具体数值和扬声器发出声音的频率。图中G 1是CMOS 反相器,输出的高、低电平分别为V OH =12V ,V OL ≈0V 。 (+12V) 图题9.5 解:1.工作原理: 图题9.1由两级555电路构成,第一级是施密特触发器,第二级是多谐振荡器。施密特触发器的输入由R 1、C 1充放电回路和开关S 控制,当S 闭合时,V C =0V ,施密特触发器输出高电平。施密特触发器的输出经反相器去控制多谐振荡器的R D 端,当施密特触发器的输出为高电平时,R D =0,多谐振荡器复位,扬声器不会发出声音。当开关S 断开 后,R 1、C 1充放电回路开始充电,V C 随之上升,但在达到CC T 32 V V =+之前,施密特触 发器的输出仍为高电平时,R D =0,扬声器仍不会发出声音。这一段时间即为延迟时间。 一旦V C 达到CC T 32 V V =+,施密特触发器触发翻转,输出低电平,R D =1,多谐振荡器工 作,扬声器开始发声报警。 2.求延迟时间: 延迟时间由R 1、C 1充放电回路的充电过程决定: τ t e v v v v -+ ∞-+∞=)]()0([)(C C C C 将 V 12)(CC C ==∞V v )0(C +v =0V τ=R 1C 1代入上式,得: )1(1 1CC C C R t e V v --= t=t 1时,CC C 3 2 V v =代入上式,整理得延迟时间: t 1= R 1C 1ln3≈1.1 R 1C 1=1.1×106+10×10-6=11S 扬声器发声频率:MHz 95.01001.010157.01 )2(7.016 3232≈????=+= -C R R f

555多音信号发生器(课程设计)资料

电子技术基础课程设计任务书 2014-2015学年第二学期第17周-18周 题目多音发生器 内容及要求 1、电路由555构成; 2、根据开关的转换能发出不同的声音。 进度安排 1、方案论证2天 2、分析、设计、3天 3、焊接、调试、实现3天 4、检查、整理、写设计报告、小结2天 学生姓名:138202226徐斌138202227杨帆 指导时间2015年7月1日指导地点:八大楼117室任务下达2015年6月23日任务完成2015年7月3日 考核方式 1.评阅√ 2.答辩√ 3.实际操作√ 4. 其它□ 指导教师彭洁老师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

目录 1课程名称 (2) 2 任务设计及要求........................................... 错误!未定义书签。 3 设计方案及其比较 (3) 3.1 方案一 (3) 3.2 方案二 (4) 4 实现方案 (4) 4.1 NE555定时器的内部结构和工作原理 (4) 4.2 实现变音信号发生器电路图及其原理 (5) 5 计算机仿真 (6) 6.1 电路的连接 (7) 6.2 电路的调试 (9) 6.3 结论 (9) 7 参考文献 (9)

多音信号发生器电路的设计 1、课程名称:多音信号发生器电路的设计 2、设计任务及要求 设计一个多音发生器,使它能按一定规律交替发出两种不同的声音。 要求:1)、电路由555构成; 2)、根据开关的转换能改变发出不同的声音。 3、设计方案及其比较 3.1 方案一 电路如图1所示。 图1 方案一电路原理图 电路主要由两片555定时器组成,第一片实现多谐振荡器,输出周期变化的高低电平,输出端3接到第二片的5端。利用二极管的单向导特性,使电容器C 11 的充放电回路分开: 充电时,Vcc经R 11和R p1 后流经D 1 对电容C充电;放电时,电容C两端电压经过D 2 ,R p1 流 到该片定时器的7端。 当第一片输出为高电平时,第二片2和6端的参考电压分别为1/2V o1和V o1 ;当第一片

555定时器光控防盗报警电路课程设计报告(含电路图)

摘要 红外线发射电路的功能是利用红外线发光二极管发射光脉冲,从而实现电路对人或物体的感应。红外线接收电路的功能是利用光敏元件接收发射出来的光脉冲,并且将光脉冲信号转化为电信号,同时对其进行放大。声光报警电路的功能是当有人体或物体接近防盗报警电路时,通过声音和显示信号提示主人。时间延迟和自动喷洒电路的功能是当声光报警一段时间之后自动喷洒麻醉剂来保护财产。电源电路的功能是为上述所有电路提供直流电压,该电路也可采用电池供电,但需要注意的问题是选择合适电池的指标参数与电路相匹配。 关键词防盗报警/红外线/555定时器/ LM567锁相环频率解码器

目录 第一章光电报警电路的应用 (3) 第二章电路的组成及其原理 (4) 第一节设计要求 (4) 第二节简易光电报警电路的结构模块图 (4) 第三节工作原理 (5) 一、电源电路 (5) 二、红外发射电路 (6) 三、红外接收电路 (7) 四、选频电路 (7) 五、声光报警电路 (8) 六、时间延迟及麻醉喷射电路 (9) 第三章主要器件使用说明 (11) 第一节 555定时器 (11) 一、内部结构及引脚功能 (11) 二、555的功能描述 (12) 三、555的应用 (13) 四、555管脚图 (14) 第二节 LM567 (14) 一、LM567管脚功能 (14)

二、LM567内部结构及工作原理 (15) 第三节继电器 (17) 第四章个人总结 (18) 参考文献 (21) 附录 (21) 附录1简易光控防盗报警电路总图 (22) 附录2元件参数列表 (23)

第一章光电报警电路的应用 随着时代的不断进步,人们对自己所处环境的安全性提出了更高的要求,尤其是在家居安全方面,不得不时刻留意那些不速之客。所以作为新一代的智能家居安全防盗报警器系统就应运而生,并日益受到广泛的重视和运用。另外,为了进一步规范住宅小区智能化建设,建设部特别制定了智能小区的等级标准,按照其要求智能小区中必须具有安全防范、信息管理、物业管理和信息网络等系统。 因此小区安全防范系统建设已逐渐纳入许多小区建设的必备项目中了。以深圳为例,几乎所有新建的住宅楼盘都预装了防盗系统,并禁止安装防盗网,而上海、广州、温州、南昌等地更是花费重金拆除了防盗网,其防盗功能则必须由电子防盗系统来完成。因此,家庭安防系统必将有很大的发展,并且也将从北京、上海、广东等发达城市向内地蔓延开来,形成一个全新的朝阳产业。

基于555定时器的函数信号发生器设计

2013-2014学年度第二学期电子技术基础课程 调 研 报 告 课题名称:基于555定时器的 信号发生器设计 专业:物理学 学号:********* 姓名:** ** ** 成绩:

1、调研任务与要求 设计一个信号发生器,独立完成系统设计,要求能实现以下功能: (1)能产生方波、三角波、正弦波 2、调研目的 (1)进一步巩固熟悉简易信号发生器的电路结构及电路原理并了解波形的转变方法;(2)学会用简单的元器件及芯片制作简单的函数信号发生器,锻炼动手能力; (3)学会调试电路并根据结果分析影响实验结果的各种可能的因素 3、设计方案论证 信号发生器一般由一个电路产生方波或者正弦波,通过波形变换得到其他几种波形。考虑到RC震荡产生正弦波的频率调节不方便且可调频率范围较窄,本设计采用先产生方波,后变换得到其他几种波形的设计思路。 采用555组成的多谐振荡器可以在接通电源后自行产生矩形波,再通过积分电路将矩形波转变为三角波,再经积分网络转变为正弦波。 4、555定时器的电路结构与工作原理

555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器 和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC若触发输入端TR 的电压小于VCC /3,则比较器 C2 的输出为0,可使 RS 触发器置1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为1,可将 RS 触发器置0,使输出为0电平。 它的各个引脚功能如下: 1脚:外接电源负端VSS或接地,一般情况下接地。 8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路的范围为3 ~ 18V。一般用5V。 3脚:输出端Vo 2脚:低触发端 6脚:TH高触发端 4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。 5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为的情况下,555 时基电路的功能表如表1示。 表1

频率可调的方波信号发生器设计及电路

用单片机产生频率可调的方波信号。输出方波的频率范围为1Hz-200Hz,频率误差比小于0.5%。要求用“增加”、“减小”2个按钮改变方波给定频率,按钮每按下一次,给定频率改变的步进步长为1Hz,当按钮持续按下的时间超过2秒后,给定频率以10次/秒的速度连续增加(减少),输出方波的频率要求在数码管上显示。用输出方波控制一个发光二极管的显示,用示波器观察方波波形。开机默认输出频率为5Hz。 3.5.1模块1:系统设计 (1)分析任务要求,写出系统整体设计思路 任务分析:方波信号的产生实质上就是在定时器溢出中断次数达到规定次数时,将输出I/O管脚的状态取反。由于频率范围最高为200Hz,即每个周期为5ms(占空比1:1,即高电平2.5ms,低电平2.5 ms),因此,定时器可以工作在8位自动装载的工作模式。 涉及以下几个方面的问题:按键的扫描、功能键的处理、计时功能以及数码管动态扫描显示等。问题的难点在按键连续按下超过2S的计时问题,如何实现计时功能。 系统的整体思路:主程序在初始化变量和寄存器之后,扫描按键,根据按键的情况执行相应的功能,然后在数码显示频率的值,显示完成后再回到按键扫描,如此反复执行。中断程序负责方波的产生、按键连续按下超过2S后频率值以10Hz/s递增(递减)。 (2)选择单片机型号和所需外围器件型号,设计单片机硬件电路原理图 采用MCS51系列单片机At89S51作为主控制器,外围电路器件包括数码管驱动、独立式键盘、方波脉冲输出以及发光二极管的显示等。 数码管驱动采用2个四联共阴极数码管显示,由于单片机驱动能力有限,采用74HC244作为数码管的驱动。在74HC244的7段码输出线上串联100欧姆电阻起限流作用。 独立式按键使用上提拉电路与电源连接,在没有键按下时,输出高电平。发光二极管串联500欧姆电阻再接到电源上,当输入为低电平时,发光二极管导通发光。 图3-14 方波信号发生器的硬件电路原理图 (3)分析软件任务要求,写出程序设计思路,分配单片机内部资源,画出程序流程图软件任务要求包括按键扫描、定时器的控制、按键连续按下的判断和计时、数码管的动态显示。 程序设计思路:根据定时器溢出的时间,将频率值换算为定时器溢出的次数(T1_over_num)。使用变量(T1_cnt)暂存定时器T1的溢出次数,当达到规定的次数(T1_over_num)时,将输出管脚的状态取反达到方波的产生。主程序采用查询的方式实现按键的扫描和数码管的显示,中断服务程序实现方波的产生和连续按键的计时功能。

相关文档
最新文档