基于FPGA的信号发生器的文献综述
信号发生器的设计方案综述【文献综述】

文献综述电子信息工程信号发生器的设计方案综述摘要:本文首先介绍了信号发生器的背景与应用,然后提出了基于直接数字频率合成(DDS)技术的信号发生器实现,概述了DDS的概念及基本结构,介绍了基于FPGA、单片机及专用芯片的信号发生器实现方案,最后对这些方案给出笔者的评价。
关键词:DSP BUILDER;数字移相信号发生器;DDS1引言在当今社会,信号发生器作为电子领域中的最基本、最普通、最广泛的仪器之一,是工科类电子工程师进行信号仿真实验的最佳工具。
而信号发生器是指能产生测试信号的仪器,它主要用于产生被测电路所需特定参数的电测试信号。
本文设计的数字移相信号发生器通过移相技术在数控、数字信号处理机、工业控翻、自动控制等各个领域得以应用[1]。
2 DDS概述直接数字频率合成DDS(Direct Digital Frequency Synthesizer)是一种采用数字化技术、通过控制相位的变化速度、直接产生各种不同频率信号的新型频率合成技术,标志着第三代频率合成技术的出现。
它是把一系列数字量形式的信号通过数模转换器(DAC)转换成模拟量形式的信号[2]。
目前使用的最广的一种DDS方式是利用高速存储器作查找表。
然后通过高速DAC输出已经用数字形式存入的正弦波。
具有频率切换时间短,频率分辨率高,频率稳定度高。
输出信号的频率和相位可快速程控交换、输出相位连续、容易实现频率、相位和幅度的数控调制等优点[3]。
图1 DDS基本结构DDS是以数控的方式产生频率、相位和幅度可以控制的正弦波,如图1所示为基本DDS结构,由相位累加器、相位调制器、正弦ROM查找表、D/A构成[4]。
相位累加器是整个DDS的核心,它由一个累加器和一个N位相位寄存器组成,每来一个时钟脉冲,相位寄存器以相位步长M增加,相位寄存器的输出与相位控制字相加,完成相位累加运算,其结果作为正弦查找表的地址,正弦ROM查找表内部存有一个完整周期正弦波数字幅度信息,每个查找表地址对应正弦波中o。
基于单片机和FPGA的任意频率发生器设计【文献综述】

毕业设计开题报告电子信息工程基于单片机和FPGA的任意频率发生器设计1前言单片机诞生于20世纪70年代末,经历了SCM、MCU、SoC三大阶段。
目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。
导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。
更不用说自动控制领域的机器人、智能仪表、医疗器械了。
因此,单片机的学习、开发与应用将造就一批计算机应用与智能化控制的科学家、工程师。
单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。
概括的讲:一块芯片就成了一台计算机。
它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。
同时,学习使用单片机是了解计算机原理与结构的最佳选择。
单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可......用它来做一些控制电器一类不是很复杂的工作足矣了。
我们现在用的全自动滚筒洗衣机、排烟罩、VCD等等的家电里面都可以看到它的身影!......它主要是作为控制部分的核心部件。
[9-13]直接数字频率合成(简称DDS)是一种新的频率合成技术,同传统的直接频率合成(DS),锁相环间接频率合成(PLL)方法相比,它具有很多优点,如频率切换时间短,频率分辨率高,相位变化连续,容易实现对输出信号的多种调制等。
近几年来,疙瘩芯片制造厂商都继续推出各种各样的高性能,多功能的DDS 专用芯片,为电路设计者提供了多种选择,满足了工程的实际需要。
但DDS专用芯片确实固定的,使用不灵活。
二用FPGA设计的DDS电路只需要改变FPGA 中的ROM数据,DDS就可以产生任意波形,而且FPGA芯片要比专用DDS专用芯片便宜很多倍。
基于FPGA的任意信号发生器设计

Design of arbitrary signal generator based on FPGA
Xu Minghui (College of Information Science and Technology, Chengdu University of Technology,610059)
Abstract :Arbitrary waveform signal generator plays a great role in the design of modern electronic products,is a kind of development in the digital signal technology,the continuous development of large scale integrated circuit technology in a new measurement tool.To meet the requirements of the special signal,but also can simulate the actual life of a variety of signals,to help us to measure the signal.In this paper,we will use the DDS principle to implement any signal generator based on FPGA. Keywords :direct frequency synthesis (DDS);programmable gate array (FPGA);D/A
2016.22
设计与研发
基于 FPGA 的任意信号发生器设计
基于FPGA的通用频率信号发生器的设计

目录摘要.......................................................... I II Abstract ........................................................ I V 1 绪论.. (1)1.1课题来源及意义 (1)1.2国内外DDS的研究现状及发展 (1)1.3本文研究的主要内容与结构 (4)2 需求分析与总体设计 (6)2.1 任务分析 (6)2.2 方案选择 (6)2.3 本章小结 (8)3 系统原理分析 (9)3.1 DDS技术的特点 (9)3.2 DDS技术的工作原理 (9)3.3 FPGA的工作原理 (11)3.4 FPGA的特点 (11)3.5 本章小结 (12)4主控电路设计 (13)4.1单片机选型及其最小系统设计 (13)4.2按键输入接口设计 (15)4.3液晶显示接口设计 (16)4.4 DAC芯片选型及其接口电路 (17)4.5 本章小结 (19)5 软件设计及其调试 (20)5.1显示接口驱动设计及调试 (20)5.2输入接口驱动程序及调试 (21)5.3 SPI通信接口设计及调试 (22)5.4 MCU联机仿真及调试 (26)5.5 基于FPGA的SPI通信接口 (28)5.6 DDS相位累加器实现 (29)5.7 FPGA联机测试 (31)5.8 本章小结 (33)结束语 (34)参考文献 (35)致谢 (36)基于FPGA的通用频率信号发生器的设计摘要直接数字频率合成(Direct Digital Frequency Synthesis,简称DDS)技术是近年来发展起来的一种新的频率合成技术。
它将先进的数字处理理论与方法引入到信号合成领域,标志着第三代频率合成技术的出现。
随着数字电路和微电子技术的发展,DDS技术日益显露出它的优越性。
目前,DDS技术己经在雷达系统、通信系统、仪器仪表和电子对抗等领域得到了十分广泛的应用。
基于FPGA的函数信号发生器设计毕业设计(论文) 精品

基于FPGA的函数信号发生器设计摘要函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。
随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类。
本文在探讨函数信号发生器几种实现方式的基础上,采用直接数字频率合成(DDS)技术实现函数信号发生器。
在对直接数字频率合成(DDS)技术充分了解后,本文选择以Altera公司生产的FPGA芯片为核心,以硬件描述语言Verilog HDL为开发语言,设计实现了可以产生任意波形(以正弦波为例)和固定波形的(以方波和锯齿波为例)的函数信号发生器。
文中详细阐述了直接数字频率合成(DDS)、波形产生以及调幅模块的设计,并给出了相应的仿真结果。
本文最后给出了整个系统的仿真结果,即正弦波、方波、锯齿波的波形输出。
实验表明,用现场可编程门阵列(FPGA)设计实现的采用直接数字频率合成(DDS)技术的函数信号发生器,克服了传统方法的局限,实现了信号发生器多波形输出以及方便调频、调幅的功能。
关键词函数信号发生器;直接数字频率合成;现场可编程门阵列;Verilog HDLAbstractFunction Generator is an indispensable tool in a process of various tests and experiments. It is widely used in communication, measurement, radar, control, teaching and other fields. With the development of China's economic and technological, the corresponding test equipment and test methods are also put forward higher requirements, and the signal generator has become a vital test instrument.The article examines the several implementations of the function generator. And it has achieved the function generator which is completed by direct digital frequency synthesis (DDS) technology . Through understanding the direct digital frequency synthesis (DDS) technology, this paper chose to the Altera Corporations’ FPGA chips as the core of design. The function generator which can produce sine, square wave, sawtooth wave was designed. It also used hardware description language Verilog HDL as development language. The paper described the design of the main module, such as direct digital synthesizer (DDS), waveform generation and modulation module. And the corresponding simulation results were also presented.At last, the simulation results of the whole system were presented, that is, sine, square, sawtooth waveform has been carried out. Experiments show that the function generator based on FPGA and direct digital frequency synthesis (DDS)technology has overcame the limitations of traditional methods and achieved a signal generator which can generate multiple waveforms and has facilitate FM, AM function.Keywords Function Genenrator Direct Digital Freguency Synthesizer FPGA Verilog HDL目录1绪论 (1)1.1背景及意义 (1)1.2波形发生器研究现状 (1)1.2.1波形发生器的发展状况 (1)1.2.2国外波形发生器产品介绍 (2)1.3本设计的主要工作 (2)2系统基本原理 (4)2.1函数信号发生器的几种实现方式 (4)2.1.1程序控制输出方式 (4)2.1.2 DMA输出方式 (4)2.1.3可变时钟计数器寻址方式 (4)2.1.4直接数字频率合成方式 (4)2.2频率合成器简介 (5)2.2.1频率合成技术概述 (5)2.2.2频率合成器主要指标 (6)2.3 DDS原理 (6)2.3.1相位累加器 (7)2.3.2波形ROM (8)2.3.3 DDS频率合成器优缺点 (8)2.4现场可编程门阵列(FPGA) (9)2.4.1 FPGA简介 (9)2.4.2 FPGA特点 (9)2.4.3 FPGA工作状态 (10)2.4.4 FPGA的编程技术 (10)2.4.5 FPGA器件配置方式 (10)2.4.6使用FPGA器件进行开发的优点 (11)2.5 Verilog HDL语言简介 (11)3系统软件设计 (13)3.1编程软件的介绍 (13)3.1.1 Quartus II简介 (13)3.1.2 Quartus II设计流程 (13)3.2 Quartus II系统工程设计 (14)3.2.1创建工程 (14)3.2.2新建Verilog源文件 (15)3.2.3工程编译 (15)3.2.4生成模块电路 (15)3.2.5新建Block Diagram/Schematic File并添加模块电路 (16)3.2.6设计Vector Waveform File (16)3.3函数信号发生器的系统设计 (17)3.3.1系统总体设计 (18)3.3.2 FPGA系统设计流程 (18)3.3.3 FPGA系统模块设计 (19)4系统模块设计及仿真 (21)4.1频率寄存器模块设计 (21)4.2 DDS模块设计 (22)4.2.1 32位加法器 (22)4.2.2相位寄存器 (23)4.3波形产生模块设计 (24)4.3.1正弦波形ROM (24)4.3.2方波模块 (26)4.3.3锯齿波模块 (27)4.4调幅模块设计 (28)5系统调试 (30)5.1调试 (30)5.2仿真结果 (30)结论 (32)致谢 (33)参考文献 (34)附录 (35)附录1系统整体设计图 (35)附录2各模块源程序 (35)1绪论1.1背景及意义函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。
基于FPGA的DDS信号发生器设计分析

基于FPGA的DDS信号发生器设计分析摘要:随着现代电子技术的飞速发展,直接数字频率合成DDS 技术逐渐被广泛使用,DDS 是目前数据调度常用的数据分发技术,此技术能够有效结合数据服务质量要求,完成数据分发操作。
为此提出基于FPGA的DDS信号发生器设计,以提升信号发生器精度效果。
关键词:FPGA;DDS;信号发生器;设计;1 DDS数据分发模型设计网络层云服务器采用的DDS数据分发模型结构如图1所示。
DDS数据分发模型中,将数据库云平台中的数据发送端看作为发布者,数据写入者为数据采集端,而订阅者与读入者即为云平台中的数据接收端。
DDS数据分发模型的身份主要是通信数据库云平台中,通信网络的中间件,此模型能够为通信数据库云平台提供通信数据分发服务,让通信数据可以快速分发传输,从而避免出现数据拥塞问题。
图 1 基于 DDS 的通信数据库云平台2系统硬件设计2.1硬件整体方案函数信号发生器的硬件系统主要包括MCU控制电路,FPGA构成的DDS发生器、DAC转换和低通滤波电路,及一些用于输入输出的器件等。
按键输入和LCD输出显示主要由MCU负责控制,MCU然后将输入的信号运算处理后发送给FPGA,FPGA根据输入的各种参数在ROM表中寻址,同时输出对应控制的波形、频率和幅度的数字信号,最后经过DA转换为对应的模拟电压信号,在经过一个低通滤波器使得模拟电压信号变得平滑。
2.2硬件模块电路系统的硬件电路主要分为两个部分,一是系统主控电路,二是DDS信号发生器电路。
系统主控电路包括以STM32F103C8T6为主控的最小系统板、四路用户按键输入、OLED显示屏输出(SPI)、UART通信连接上位机、硬件SPI连接FPGA负责信号数据传输。
DDS信号发生器电路,其中的FPGA模块的核心芯片为LatticeLCMXO2-4000HC-4MG132,其模块上内置8路输出LED指示灯、4路按键输入、4路拨码输入和两位数码管输出灯资源。
基于FPGA的正弦信号发生器

基于FPGA的正弦信号发生器设计摘要:本设计结合了EDA技术和直接数字频率合成(DDS)技术。
EDA技术是现代电子设计技术的核心,是以电子系统设计为应用方向的电子产品自动化的设计技术。
DDS技术则是最为先进的频率合成技术,具有频率分辨率高、频率切换速度快、相位连续、输出相位噪声低等诸多优点。
本文在对现有DDS技术的大量文献调研的基础上,提出了符合FPGA结构的正弦信号发生器设计方案并利用MAXPLUSⅡ软件进行了设计实现。
文中介绍了EDA技术相关知识,同时阐述了DDS技术的工作原理、电路结构,及设计的思路和实现方法。
经过仿真测试,设计达到了技术要求。
关键词:现场可编程门阵列(FPGA);直接数字频率合成(DDS);正弦波信号发生器The design of sine signal generating devicebased on FPGAAbstract:The design that combines EDA technology and Direct Digital Synthesis (DDS) technology. EDA technology is the design of modern electronic technology at the core, electronic system design direction for the application of electronic design automation products technology. DDS technology is the most advanced frequency synthesizer technology with the high-frequency resolution and frequency switching speed, continuous phase, low phase noise output many advantages.Based on the technology of existing DDS study of the extensive literature on the basis of FPGA with the structure of the sinusoidal signal generator design and the use of FPGA II software located Total realized. The paper introduced the EDA technology-related knowledge, and elaborated on the DDS technology principle, circuit structure, and design ideas and methods. After simulation tests designed to achieve the technical requirements.Keywords:FPGA;DDS;sine signal generating device第1章绪论1.1 引言直接数字频率合成(Digital Direct Frequency Synthesis)是一种比较新颖的频率合成方法。
基于FPGA的DDS信号发生器的研究之文献综述

基于FPGA的DDS信号发生器的研究之文献综述摘要:信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。
函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。
随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FPGA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根据要求在线更新配置,系统开发趋于软件化、自定义化。
关键词:FPGA 、DDS、信号发生器1.概述频率检测是电子测量领域的最基本也是最重要的测量之一,频率信号抗干扰强,易于传输,可以获得较高的测量精度,所以频率方法的研究越来越受到重视[1]。
在频率合成领域中,直接数字合成(Direct Digital Synthesizer,简称:DDS)是近年来新的技术, 它从相位的角度出发直接合成所需波形。
它是由美国人J.Tierncy首先提出来的,是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法[2]。
其主要优点有:频率捷变速度快、频率分辨率高、输出相位连续、可编程、全数字化便于集成等,目前使用最广泛的一种DDS频率合成方式是利用高速存储器将正弦波的M个样品存在其中,然后以查找的方式按均匀的速率把这些样品输入到高速数模转换器,变成所设定频率的正弦波信号[3]。
一个典型的直接数字频率合成器应该包含一个正弦波样品的RAM。
在限定相位跳跃的频率设置字的控制方式下来搜寻这些样本。
一个典型的频率设置字是32位宽,但48位合成器在较高的频率分辨率也可使用。
一个相位累加器产生连续的正弦查找表的地址,并生成一个数字正弦波输出。
DDS的数字部分,即相位累加器和查表,被称为数控振荡器(NCO)[4]。
基于FPGA的核辐射脉冲信号发生器研究

基于FPGA的核辐射脉冲信号发生器研究摘要本文研究了一种基于FPGA的核辐射脉冲信号发生器。
首先,本文介绍了核辐射脉冲信号的基本概念和特点,包括脉冲宽度、幅度、频率等。
接着,本文阐述了基于FPGA的核辐射脉冲信号发生器的设计方法,包括硬件设计和软件设计。
硬件设计包括FPGA芯片的选择、电路设计和调试等;软件设计包括VHDL语言编写、模块设计和功能仿真等。
最后,本文通过实验验证了该发生器的性能和可靠性,并指出了未来研究的方向和挑战。
引言核辐射脉冲信号发生器是一种能够产生核辐射脉冲信号的仪器,广泛应用于核物理、医学、安全等领域。
传统的核辐射脉冲信号发生器通常采用模拟电路实现,存在着电路复杂、调试困难、稳定性差等问题。
随着FPGA技术的不断发展,基于FPGA的核辐射脉冲信号发生器逐渐成为研究的热点。
FPGA具有高度的可编程性和可重复性,能够实现复杂的逻辑电路,具有体积小、重量轻、可靠性高等优点。
因此,研究基于FPGA的核辐射脉冲信号发生器具有重要的理论意义和实际应用价值。
基于FPGA的核辐射脉冲信号发生器设计基于FPGA的核辐射脉冲信号发生器主要包括硬件设计和软件设计两部分。
硬件设计主要包括FPGA芯片的选择、电路设计和调试等;软件设计主要包括VHDL语言编写、模块设计和功能仿真等。
2.1 硬件设计硬件设计是实现基于FPGA的核辐射脉冲信号发生器的基础。
在硬件设计中,我们选择了Xilinx公司的Virtex-5 FPGA 芯片,该芯片具有高度集成、高性能、低功耗等优点,能够满足我们的设计要求。
同时,我们设计了包括电源电路、时钟电路、复位电路、数据采集电路等外围电路,实现了完整的硬件系统。
2.2 软件设计软件设计是实现基于FPGA的核辐射脉冲信号发生器的核心。
在软件设计中,我们采用了VHDL语言编写程序,实现了包括脉冲宽度调整、幅度调整、频率调整等模块。
同时,我们采用了MATLAB软件对生成的核辐射脉冲信号进行了仿真和验证。
基于FPGA的信号发生器设计

基于FPGA的函数信号发生器设计摘要在信号发生器的设计中,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大,可移植性差。
本设计是利用EDA技术设计的电路,该信号发生器可以输出四种信号,分别是正玄波、方波、三角波、锯齿波,可以通过外部的按键选择波形并调节波形的幅度、相位和频率。
侧重叙述了用FPGA来完成直接数字频率合成器(DDS)的设计,通过调用四个ROM 里面的数据来实现,这里需要一个加法器和一个累加器来产生 ROM 的地址。
通过不断让地址累加,从而不断地从 ROM 中读取波形数据,然后将数据送往 DACTLC5615的驱动模块中,这样最终便输出模拟的波形,最后通过示波器演示仿真结果。
与传统的频率合成方法相比,DDS合成信号具有频率切换时间短、频率分辨率高、相位变化连续等诸多优点。
使用FPGA器件的高性能、高集成度相结合,可以克服传统DDS 设计中的不足,从而设计开发出性能优良的DDS系统。
关键词:FPGA;函数信号发生器;DDS;DAC;The design of function generator based on FPGAAbstractIn the signal generator design , the traditional method of using discrete long design cycle components or general purpose digital circuit component design of electronic circuits , expensive, poor portability . This design is the use of EDA technology designed circuit , the signal generator can output four signals , which are sine wave, square wave , triangle wave , sawtooth wave, you can select and adjust the waveform amplitude, phase and frequency of the waveform by an external button.Emphasis describes the use of FPGA to complete direct digital frequency synthesizer (DDS) design , by calling four ROM inside the data to achieve here need an adder and an accumulator to generate ROM address. By constantly make address accumulate, thereby continuously reads the waveform data from the ROM , and then the data is sent to DACTLC5615 drive module , so that the final output will be analog waveforms, and finally through the oscilloscope display simulation results.Compared with the conventional method of frequency synthesis , DDS frequency synthesized signal having a short switching time , high frequency resolution, and many other advantages of continuous phase change . FPGA devices using high-performance, highly integrated combination of design can overcome the shortcomings of traditional DDS , which designed and developed the excellent performance of the DDS system .Keywords: FPGA; function signal generator; DDS; DAC;目录第一章绪言 (1)1.1 课题背景 (1)1.2 课题研究的目的和意义 (1)1.3 国内外的研究状况 (2)1.3.1波形发生器的发展状况 (2)1.3.2 国内外波形发生器产品比较 (3)1.3.3 本课题在国内外的研究现状 (3)第二章信号发生器的方案设计 (4)2.1硬件组成部分 (4)2.1.1 FPGA实验板 (4)2.2外围电路 (6)2.2.1TLC5615芯片 (6)2.2.2 TLC5615工作原理 (8)2.3 RC低通滤波电路 (9)第三章直接数字合成技术(DDS) (11)3.1 直接数字合成技术(DDS) (11)3.2 DDS 的基本原理 (11)3.3 DDS 的性能分析 (12)3.3.1 DDS理想抽样频谱 (12)3.4 DDS 杂散频谱分析 (13)3.4.1相位截断对输出信号频谱的影响 (13)3.4.2 D/A 非理想特性及参考时钟相位噪声对输出信号频谱的影响 (13)3.5基于DDS的信号函数发生器 (14)3.5.1 整体框图及其说明 (14)3.5.2 按键消抖模块 (14)3.5.3 按键编码 (16)3.5.4 DDS 信号发生器 (18)3.5.5 RTL电路图 (20)第四章实验分析 (21)4.1 实验过程 (21)4.1.1 程序调试 (21)4.2 实验结果 (23)4.3 实验总结 (24)致谢 (24)参考文献 (25)第一章绪言1.1 课题背景在一些电子设备的电路板故障检测仪中,往往需要频率、幅度都能由计算机自动调节的信号源。
一种基于 FPGA 的信号发生器研究与设计

一种基于 FPGA 的信号发生器研究与设计孔德鹏;毛惠丰;姬占涛【摘要】Based on the digital frequency synthesis technology,the digital sine wave is generated by modular design and look -up table method.The direct digital frequency synthesis technology,as a kind of advanced circuit structure,can output in full digital signal frequency.So it is much better than the analog signal generator in precision and flexibility.In the circumstances,Quartus II,with very -high -speed integrated circuit hardware description language,the modular design is conducted by programming. EP1 K30TC1 44 -3 chip from ALTERA,as the core component,is used for microchip design to generate digital wave in real bining with the experiment development system GW48 -CK,the signal generator design scheme is finally provided.%基于数字频率合成技术,生成数字化正弦波,采用模块化设计和查表的方法实现。
直接数字合成技术是一种先进的电路结构,能在全数字下对输出信号频率进行输出,在精度、灵活性上大大超越了模拟信号发生器。
基于FPGA的信号发生器设计论文

摘要信号发生器是数字设备运行工作中必不可少的一部分,没有良好的信号源,最终就会导致系统不能够正常工作,更不必谈什么实现其它功能了。
本次论文主要研究了基于FPGA的函数信号发生器的设计思路与软硬件实现。
首先介绍了本次设计任务的总体设计方案,以及该方案中涉及的知识点,所使用的软件及硬件基本知识。
在此基础上进行了硬件电路的设计,主要采用DDS(直接数字频率合成)方案,采用了Altera 公司的低成本cyclone II系列FPGA的EP2C5QC8作为核心芯片,构建了外围的0832DA转换电路,以及1MHZ低通滤波电路。
再次介绍系统软件的设计过程,给出了FPGA自底向上的设计思路,以及各个底层模块的设计原理与思路分析,最后介绍了相关软件的应用知识。
最后一段介绍了论文的相关结论,进行仿真调试的过程。
实现了设计任务的频率从100HZ到1MHZ可调,幅度从0.1V到5V可调的功能。
系统的设计方案和设计过程具有参考和学习价值。
关键词:信号发生器FPGA Modelsim Verilog语言AbstractThe signal generator is an essential part of the work of digital equipment operation, without a good source, and ultimately will cause the system to work properly, not to talk about the achievement of other features. This thesis studied the software and hardware design of the FPGA-based Signal Generator. First introduced the overall design of the design task, and knowledge involved in the program, use basic software and hardware knowledge. On this basis, the hardware circuit design, using DDS (Direct Digital Frequency Synthesizer) program, using Altera's low-cost cyclone II FPGA series EP2C5QC8 core chip to build a peripheral 0832DA conversion circuit, and 1MHZ low pass filter circuit. Re-introduce the system software design process, given FPGA design ideas from the bottom up, as well as the design principles and ideas of the bottom module, and finally introduced a software application knowledge. The last paragraph of the conclusions of the paper, simulation debugging process. Design task frequency is adjustable from 100HZ to 1MHZ function of the adjustable range from 0.1V to 5V. System design and design process has a reference and learning value.Keywords: Signal generator,FPGA,Modlesim,Verilog HDL目录摘要 (I)ABSTRACT (II)目录.............................................................................................................. I II 前言. (1)1 概述 (3)1.1FPGA简介 (3)1.2 MODELSIM简介 (8)1.3DDS基本原理介绍 (9)2 设计方案 (12)2.1总体设计思路 (12)2.2方案论证 (13)2.2.1方案一 (13)2.2.2方案二 (13)2.2.3方案三 (14)2.3方案确定 (14)3 硬件电路设计 (16)3.1硬件设计注意事项 (16)3.2DA电路 (16)3.3滤波电路 (18)3.4硬件电路实现 (20)4 软件设计 (21)4.1波形产生模块 (21)4.1.1正弦波 (21)4.1.2 矩形波 (23)4.1.3 三角波 (24)4.2频率控制模块 (24)4.3相位累加模块 (25)4.3选择波形模块 (26)4.4幅度控制模块 (27)5 调试 (32)5.1设计及仿真调试使用设备 (32)5.2调试方法 (32)5.2.1 硬件调试 (32)5.2.2 软件调试 (32)5.2.3 综合调试 (33)5.3调试结果 (33)5.3.1 软件仿真结果及分析 (33)5.3.2 综合调试结果 (38)总结 (39)致辞 (40)参考文献 (41)附件1 ROM生成元程序 (42)附件2 40位流水线加法器程序 (44)前言随着我国的经济日益增长,社会对电子产品的需求量也就越来越大,目前我国的电子产品市场正在迅速的壮大,市场前景广阔。
基于可编程器件的信号发生器的设计【文献综述】

文献综述电气工程及其自动化基于可编程器件的信号发生器的设计1、引言随着EDA技术的发展,电子系统设计工具和技术发生了很大的变化,大规模的叫编程逻辑器件FPGA的出现,给设计人员带来了很多的方便。
VHDL(即超高速集成电路硬件描述语言)是随着可编程逻辑器件(PLD)发展起来的种硬件描述语言,主要用于描述数字系统的行为、结构、接口和功能,是电子设计自动化(EDA)的关键技术之一。
2、信号发生器的国内外研究动态和发展史信号发生器是一种信号源,主要给被测电路提供需要的信号,然后用其他仪表测量有用的参数。
它不是测量的仪器,而是根据使用者的需要作为信号源,仿真各种测试信号,提供给测量电路,以满足各种测量和实际需要。
目前,我国在研制信号发生器这方面有很大的成果。
但是总的来说,我国信号发生器还没有形成真正的产业。
中国信号发生器产业发展出现的问题中,有许多情况不容乐观,比如产业结构不合理集中于劳动密集型产品;技术密集型产品明显落后于发达国家。
就目前国内的成熟产品来看,核心部分多为专用芯片,存在着成本高,控制不方便等许多缺点,并且我国目前信号发生器的性能和种类都与国外产品存在很大的距离。
所以,开发研究高性价比的信号发生器,保持与国外同类产品在同性价比,打破国外技术封锁和垄断,对发展我国电子产业有非常重大的作用,具有广泛的发展前景。
在70年代以前,信号发生器主要有两类:脉冲波和正弦,产生另外其他的波形还需要采用复杂的电路和机电组合的方法,这个时侯的信号发生器存在两个严重的问题,一是通过电位器的来调节输出频率;二是脉冲的占空比不能调节。
在70年代后,微处理器的出现,可以利用D/A转换器、处理器和A/D转换器,软件和硬件改变使信号发生器的功能扩大,能够产生更加复杂的波形,这个时侯的信号发生器多以软件为主。
在80年代后,随着数字技术成熟,信号发生器大部分不再利用机械驱动而是利用数字电路,从一个频率基准由数字合成电路产生可调节频率信号。
基于FPGA的DDS信号发生器设计【文献综述】

毕业设计文献综述电子信息科学与技术基于FPGA的DDS信号发生器设计摘要:讨论了DDS信号发生器的原理及性能特点,简单介绍了实现该技术的几种方式,以及几种方案的比较。
关键字:直接数字频率合成器(DDS);FPGA;0、引言传统的信号波形产生方法,如RC和LC振荡器或单片模拟集成函数发生器,尽管它们的电路实现比较简单,但产生的信号波形频率精度和稳定度并不是很理想,而使用锁相环技术,频率精度有了很大的提高,但工艺相对比较复杂,分辨率也不高,频率变换和实现计算机程序控制也不方便。
随着电子技术的迅速发展,一种全新的信号合成技术,即直接数字频率合成技术,将先进的数字信号处理理论与方法引入信号合成领域,实现了合成信号的频率转换和频率准确度之间的统一。
DDS以其优越的性能特点成为现代频率合成技术中的佼佼者,被广泛应用于跳频通信、雷达、导航、电子侦察、干扰和反干扰等电子技术领域,具有很高的研究价值。
1、DDS的原理及性能特点DDS是一种从相位概念出发直接合成所需波形的数字频率合成技术,主要通过查波形表实现。
由所学过的奈奎斯特抽样定理可知,当抽样频率大于被抽样信号的最高频率2倍时,通过抽样得到的数字信号可以通过一个低通滤波器还原成原来的信号。
DDS信号发生器主要由参考时钟、相位累加器、波形存储器、D/A转换器和模拟低通滤波器组成(如图1)。
图1 DDS原理结构图图2 相位累加器由图1可知,在参考时钟fs 的控制下,频率控制字k 与相位寄存器的输出反馈经累加器完成加运算,并把计算结果寄存于相位寄存器,作为下一次加运算的一个输入值。
而相位累加器输出高位数据作为波形存储器(即图中的ROM 表)的相位地址值,用于查找波形存储器中相对应单元的电压幅值,得到波形二进制编码。
波形二进制编码再通过D /A 转换器,把数字信号转换成模拟信号。
低通滤波器可进一步滤除模拟信号中的高频成分,使输出的模拟信号更平滑。
在整个过程中,当相位累加器完成一次加运算并输出时,DDS 系统就完成一个周期输出任务,所以DDS 输出频率和频率分辨率为()s N o f kf 2= 输出频率 N s o f f 2=∆ 频率分辨率式中,k 为频率控制字;fs 为参考时钟,N 为相位累加器的位宽。
基于FPGA的信号发生器

数字信号发生器实验设计报告摘要:使用数字芯片特别是可编程逻辑器件来实现看似复杂的功能电路往往既简单又节省资源。
典型信号如:方波,锯齿波,三角波,正弦波等在实验或工程中应用极广,所以本实验使用VHDL 及原理图相结合的方法在FPGA 上实现数字信号的发生。
关键字:VHDL,FPGA,信号发生 一、设计方案:(1)方波,锯齿波,三角波均由计数器结合条件语句实现; (2)正弦波采用定制ROM,然后通查找表的方法实现; (3)使用多选一选择器选择四种波型之一;(4)输出波型的频率由分频程序对输入波频率进行分频而得,分频数由按键输入二进制数实现。
二、方案实现: ①方波:library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pulse isport(fclk,reset: in std_logic;d_out: out std_logic_vector(7 downto 0)输入方波信号分频模块方波锯齿波三角波正弦波四选一多路选择器D A 转换模拟 波形分频数2341按键);end pulse;architecture behave of pulse issignal a: std_logic;beginprocess(fclk,reset)variable tmp: std_logic_vector(7 downto 0); beginif reset='1' thena<='0';elsif rising_edge(fclk) thenif tmp="11111111" thentmp:="00000000";elsetmp:=tmp+1;end if;if tmp<="10000000" thena<='1';elsea<='0';end if;end if;end process;process(fclk,a)beginif rising_edge(fclk) thenif a='1' thend_out<="11111111";elsed_out<="00000000";end if;end if;end process;end behave;②锯齿波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity juchi isport(fclk: in std_logic;reset: in std_logic;d_out: out std_logic_vector(7 downto 0) );end juchi;architecture arch of juchi issignal da: std_logic_vector(7 downto 0);beginprocess(fclk,reset,da)beginif reset='1' thenda<="00000000";elseif fclk='1' and fclk'event thenif da<255 thenda<=da+1;else da<="00000000";end if;end if;end if;end process;d_out<=da;end arch;③三角波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity rectangle isport(fclk,reset: in std_logic;d_out: out std_logic_vector(7 downto 0));end rectangle;architecture behave of rectangle isbeginprocess(fclk,reset)variable tmp: std_logic_vector(7 downto 0);variable a: std_logic;beginif reset='1' thentmp:="00000000";elsif rising_edge(fclk) thenif a='0' thenif tmp="11111110" thentmp:="11111111";a:='1';elsetmp:=tmp+1;end if;elseif tmp="00000001"thentmp:="00000000";a:='0';elsetmp:=tmp-1;end if;end if;end if;d_out<=tmp;end process;end behave;④正弦波:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sinadd isport(fclk,reset: in std_logic;address: out std_logic_vector(5 downto 0));end sinadd;architecture behave of sinadd isbeginprocess(fclk,reset)variable cnt: std_logic_vector(5 downto 0):="000000"; beginif reset='1' thencnt:="000000";elseif fclk='1' and fclk'event thencnt:=cnt+1;end if;end if;address<=cnt;end process;end behave;⑤分频:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport ( clk: in std_logic;reset: in std_logic;fclk: out std_logic;keyya,keyyb,keyyc,keyyd: in std_logic);end entity;architecture behave of fenpin issignal fclkk:std_logic;signal cnt: std_logic_vector(3 downto 0);signal cntt: std_logic_vector(3 downto 0);begincntt<=keyya&keyyb&keyyc&keyyd;process(clk,reset)variable cnt: std_logic_vector(3 downto 0);beginif(reset='1') thenfclkk<='0';cnt:=(others=>'0');elseif(clk'event and clk='1') thenif(cnt=cntt) thencnt:=(others=>'0');fclkk<= not fclkk;elsecnt:=cnt+1;end if;end if;end if;fclk<=fclkk;end process;end behave;e;⑥波形选择:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity wav_sel isport(keya,keyb: in std_logic;d_in0,d_in1,d_in2,d_in3: in std_logic_vector(7 downto 0); d_out: out std_logic_vector(7 downto 0));end wav_sel;architecture behave of wav_sel issignal key: std_logic_vector(1 downto 0);beginkey<=keya&keyb;with key selectd_out<=d_in0 when "00",d_in1 when "01",d_in2 when "10",d_in3 when "11","00000000" when others;end behave;⑦顶层设计文件(原理图):三、实验现象(使用Signal Tap II):正弦波:方波:锯齿波:三角波:四、实验数据:时钟输入为50MHz:波形参数方波最小频率:最大频率:锯齿最小频率:最大频率:三角最小频率:最大频率:正弦最小频率:最大频率:五、芯片资源占用情况:。
基于FPGA的信号发生器的文献综述

燕山大学本科毕业设计(论文)文献综述课题名称:基于FPGA的信号发生器学院(系):里仁学院年级专业:12级电子信息工程学生姓名:侯晓闻指导教师:肖丽萍完成日期:2016年3月22日一、课题国内外现状随着现在工业和技术的不断提高,传统的分立元件式模拟信号发生器频率稳定性低、可靠性差,已经不能满足实际应用的需要,所以就必须有频率稳定性、精确度更高的信号发生器解决这个问题。
为了避免传统通信信号发生器的信号发生技术带来的诸多不便,同时随着数字信号处理和集成电路技术的发展,为了迎合大部分普通用户以及适应市场需求,绝大多数的数字频率集成芯片只能产生传统正弦波、矩形波、三角波等常用周期波形。
在传统的模拟调制系统实现中,大多数是采用模拟乘法器加滤波器的方法来实现,这样就造成了精度低、可控性差、抗干扰能力弱的特点。
虽然,现有的一些主流上用数字频率合成也提供某些模拟调制的功能,但是,这种专用数字频率合成芯片把所有功能集中在一块芯片上,必然导致可控性不够灵活,而且性能会受到影响,这是如果能充分利用现场可编程门阵列(FPGA)的可重复编程性、资源的丰富性以及高速等性能,除了能产生专用数字频率合成芯片所具备的单品连续波、非连续波、各种形式的线性调频信号以外,还可以轻松实现各种复杂的非线性调频信号、模拟调制信号这些灵活性能和现场可编程时数字频率合成芯片所不能达到的。
进而说现场可编程门阵列器件的高速、高可靠性和现场可编程等优点,已开始广泛应用与数字电路设计、微处理器系统、数字信号处理、通信及等不同的科技领域。
因此利用可编程门阵列其设计信号发生器具有相当高的优越性和非常广阔的应用前景。
FPGA函数信号发生器用直接数字频率合成技术,使之具有以下特点:1频率切换速度快;2输出相位噪声低;3可以产生任意波形;4全数字化实现,便于集成,体积小,重量轻;5灵活的接口和控制方式6比专用芯片功耗也低。
、基于FPGA的函数信号发生器是实现正弦波、三角波、矩形波的生成、步进调制并且在液晶显示屏上实时显示频率值、波形类型、输出电压有效值的系统。
一种基于单片机和FPGA的任意频率信号发生器设计【文献综述】

毕业设计开题报告电子信息工程一种基于单片机和FPGA的任意频率信号发生器设计一、前言(说明设计或论文的目的、意义,介绍有关感念)信号发生器是各种测试和实验过程中非常重要的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。
不论是在生产、科研还是教学研究上,信号发生器都是电子工程师信号仿真实验的最佳工具。
信号发生器的设计方法多,并且随着科技的进步,其设计技术也越来越进步。
方便及精度高的信号发生器在电子技术发展的今天被需要。
在一些领域,如军事、航空、交通制造业,在设计完成之后,在现实环境还需要作进一步实验,有些实验的成本很高或者风险性很大,人们不可能长期作实验来判断所设计的产品的可行性和稳定性。
我们可以利用一些波形发生器来做这样一些费用高、风险大的实验,通过数字示波器等仪器把波形实时记录下来,通过计算机接口接到信号源,直接下载到设计电路,作更进一步实验验证。
随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器已经成为测试仪器中至关重要的一类。
我国目前信号发生器的种类和性能与国外同类产品存在较大的差距,加紧开发信号发生器有重大意义。
二、主题(阐明有关主题的背景、现状和发展方向,以及对这些问题的评述)自20世纪20年代至今,信号发生器实现了从无到有,结构从复杂到简单,功耗上有大到小,发展速度由慢到快的飞跃。
如今,各国相关科研人员依旧积极致力于完善信号发生器结构和功能的科研工作中,并将这一技术应用到许多领域。
信号发生器在20世纪20年代出现。
在信号发生器发展的几十年过程中,信号发生器大致经历了早期信号发生器、标准信号发生器、全晶体管信号发生器、以软件为主的信号发生器、采用数字电路的信号发生器、函数信号发生器这几个阶段。
早期信号发生器结构复杂,电路比较简单,但是由于功耗大,因此发展速度较慢。
在60年代之前,尽管一些科研人员积极改善信号发生器的结构和功能,但是信号发生器都是以较慢的速度发展,而且输出的波形不稳定。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
燕山大学
本科毕业设计(论文)文献综述
课题名称:基于FPGA的信号发生器
学院(系):里仁学院
年级专业:12级电子信息工程
学生姓名:侯晓闻
指导教师:肖丽萍
完成日期:2016年3月22日
一、课题国内外现状
随着现在工业和技术的不断提高,传统的分立元件式模拟信号发生器频率稳定性低、可靠性差,已经不能满足实际应用的需要,所以就必须有频率稳定性、精确度更高的信号发生器解决这个问题。
为了避免传统通信信号发生器的信号发生技术带来的诸多不便,同时随着数字信号处理和集成电路技术的发展,为了迎合大部分普通用户以及适应市场需求,绝大多数的数字频率集成芯片只能产生传统正弦波、矩形波、三角波等常用周期波形。
在传统的模拟调制系统实现中,大多数是采用模拟乘法器加滤波器的方法来实现,这样就造成了精度低、可控性差、抗干扰能力弱的特点。
虽然,现有的一些主流上用数字频率合成也提供某些模拟调制的功能,但是,这种专用数字频率合成芯片把所有功能集中在一块芯片上,必然导致可控性不够灵活,而且性能会受到影响,这是如果能充分利用现场可编程门阵列(FPGA)的可重复编程性、资源的丰富性以及高速等性能,除了能产生专用数字频率合成芯片所具备的单品连续波、非连续波、各种形式的线性调频信号以外,还可以轻松实现各种复杂的非线性调频信号、模拟调制信号这些灵活性能和现场可编程时数字频率合成芯片所不能达到的。
进而说现场可编程门阵列器件的高速、高可靠性和现场可编程等优点,已开始广泛应用与数字电路设计、微处理器系统、数字信号处理、通信及等不同的科技领域。
因此利用可编程门阵列其设计信号发生器具有相当高的优越性和非常广阔的应用前景。
FPGA函数信号发生器用直接数字频率合成技术,使之具有以下特点:1频率切换速度快;2输出相位噪声低;3可以产生任意波形;4全数字化实现,便于集成,体积小,重量轻;5灵活的接口和控制方式6比专用芯片功耗也低。
、
基于FPGA的函数信号发生器是实现正弦波、三角波、矩形波的生成、步进调制并且在液晶显示屏上实时显示频率值、波形类型、输出电压有效值的系统。
通过本设计可以加强自己VHDL语言、分频器、相位累加器、DA转换、低通滤波器等许多知识的认识和独立解决问题的能力。
设计的现实意义在于其具有频率转换快、分辨率高、频率合成范围宽、相位噪声低的优点,可以更广泛的应用与电子技术试验、医疗、自动控制系统以及其它许多领域。
而且随着我国经济和科技的发展,对相应的测试仪器和测试手段提出了更高的要求,而波形发生器已成为测试仪器中至关重要的一类,因此开发波形发生器具有很大的意义.
二、研究主要成果
(1)目前可以利用可视化编程语言(Visual Basic,Visual C等等)编写任
意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波型的输入。
(2)与VXI资源结合。
目前,波形发生器由独立的台式仪器和适用于个人计
算机的插卡以及新近开发的VXI模块。
(3)2003年,Agilent的产品33220A能够产生17种波形,最高频率可达到
20M,2005年的产品N6030A能够产生高达500MHZ的频率,采样频率可达1.25GHZ。
三、发展趋势:
FPGA的发展趋势:越来越多的系统厂商选用FPGA来实现最终产品,或为大型ASIC和SOC设计做初期的原型设计。
在FPGA上可以用与ASIC相当的速度验证和调试产品的功能,可节约数月的时间并且避免了重新掩膜的风险。
频率合成器被誉为电子系统的心脏,频率源的发展直接关系到电子系统性能的发展。
信号发生器是一种常用的信号源,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。
现代电子测量工作对波形发生器的性能提出了更高的要求,根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等,因此研究制作高性能的任意波形发生器十分有必要,且发展非常迅速,得到了广泛的推崇。
四、存在问题
(1)波形输出期间,微处理器因为失去了总线控制权,无法进行其他操作。
(2) 在一个DMA操作中,只能在一个D/A转换器和存储器之间传送数据,
无法实现多通道的信号输出。
(3)所想频率合成器也存在一些问题,一直难于满足合成器多方面的性能要求,主要表现在高频率分辨率与快速转换频率之间的矛盾。
五、主要参考文献
[1]罗苑棠.CPLD/FPGA常用模块与综合系统设计实例精讲[C].北京:电子工
业出版社,2007.
[2]张春生,苏开友.FPGA数字信号处理与工程应用实践[F].北京:中国铁
道出版社,2013.
[3]黄智伟.FPGA系统设计与实践[F],北京:电子工业出版社,2005.
[4]郝小江,罗彪.基于FPGA的函数信号发生器[J].电测与仪
表.2008,(5):49-51.
[5]林金阳.基于FPGA的智能函数发生器的设计[J].西安文理学院学报,
2010,(3):43-47.
[6]M.S.高西,K.R.莱克.现代滤波器设计[J].北京:科学出版社,1989
[7]阎石.数字电子技术基础[M].北京.高等教育出版社,2006.
[8]张严.基于FPGA的任意波形发生器的研究与设计[D].广州:华南师范大
学电路与系统专业,2008.
[9]黄振华.基于FPGA函数信号发生器的设计与实现[D].南京:江苏大学控
制理论与控制工程专业,2009.
[10]徐金龙.刘宇红.刘桥.基于DDS原理的任意波形信号发生器的设计
[J].现代机械.2006(4):74-76.
[11]唐亚平.基于FPGA与DSP的等精度数字频率计设计[J].微计算机信
息.2007.1-2:249-250.
[12]翁木云.FPGA设计与应用[M].西安:西安电子科技大学出版社,2003.
[13]黄小翰.基于FPGA的多功能波形发生器的设计[J].价值工程,2010
(9):232-233.
[14]陈楠.FPGA在DDS技术中的应用[J].广西轻工业,2011(7):61-62.
[15]赵洪华.基于DDS技术的数字频率信号发生器的设计[J].客机创新导
报,2010(24):96-97.
指导教师审阅签字:
年月日。