计算机组成原理实验报告册

计算机组成原理实验报告册
计算机组成原理实验报告册

实验一监控程序与汇编实验

实验时间:第周星期年月日节实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

1)了解教学计算机的指令格式、指令编码、选择的寻址方式和具体功能。

2)了解汇编语言的语句与机器语言的指令之间的对应关系,学习用汇编语言设计程序的过程和方法。

3)学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地位和作用。

2、实验平台

硬件平台:清华大学TEC-XP实验箱的MACH部分

软件平台:监控程序pcec16.exe、PC端指令集仿真软件

3、实验要求

1)学习联机使用TEC-XP 教学实验系统和仿真终端软件https://www.360docs.net/doc/b09914256.html,;

2)使用监控程序的R 命令显示/修改寄存器内容、D 命令显示存储器内容、E 命令修改存储器内容;

3)使用A 命令写一小段汇编程序,使用U命令观察汇编码与机器码之间的关系,用G 命令连续运行该程序,用T命令单步运行并观察程序单步执行情况。

**代码不得写到0000——1FFF的地址单元中,如有违反将被取消当堂成绩

4、操作步骤及实验内容

1)实验箱功能开关设置及联机操作:

1. 将实验箱COM1口与PC机相连;

2. 设置功能状态开关为00110;

3. 于PC端运行Pcec16.exe;

4. 按RESET,START键,若PC端出现如下输出(如图1.1所示),则操作成功;

图1.1

2)仿真软件相关操作:

1. 在项目文件夹找到tec2ksim.exe并启动;

图2.1 2. 点击文件-启动监控程序;

图2.2

4.若PC端出现如下输出(如图2.3所示),则操作成功;

图2.3

3)理解下列监控命令功能:

A、U、G、R、E、D、T

1. A命令:完成指令汇编操作,把产生的指令代码放入对应的内存单元中,可连续输入。不输入指令直接回车,则结束A命令(如图3.1所示);

图3.1

2. U命令:从相应的地址反汇编15条指令,并将结果显示在终端屏幕上(如图

3.2所示);

图3.2

注:连续使用不带参数的U命令时,将从上一次反汇编的最后一条语句之后接着继续反汇编。

3. G命令:从指定(或默认)的地址运行一个用户程序(如图3.3所示);

图3.3

4. R命令:显示、修改寄存器内容,当R命令不带参数时,显示全部寄存器和状态寄存器的值(如图3.4所示);

图3.4

5. E命令:从指定(或默认)地址逐字显示每个内存字的内容,并等待用户打入一个新的数值存回原内存单元(如图3.5所示);

图3.5

6. D命令:从指定(或默认)地址开始显示内存120个存储字的内容(如图3.6所

示);

图3.6

7. T命令:从指定地址(或当前地址)开始单条指令方式执行用户程序(如图3.7

所示);

图3.7

4)编程中不能随意使用的寄存器及他们分别表示什么含义:

1. R4:R4是操作系统的栈顶指针,指向堆栈的顶部;

2. R5:R5是程序寄存器,里面的值总是指向当前程序运行点的地址;

5)根据所学知识编写:从键盘输入一个数字,则计算该值到10的累加和,结果存入R2中。

1. 通过A命令编写程序(强烈建议同学们自己思考编程,不要直接照搬代码,);

图5.1

2. 通过G命令运行程序;

图5.2

我们看到R2的值为37(十六进制),程序运行成功。

实验二脱机运算器实验

实验时间:第周星期年月日节

实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

1)理解运算器芯片Am2901的功能和内部组成,运行中使用的控制信号及各自的控制作用;

2)理解4片Am2901构成16位运算器的具体方案,各数据位信号、各控制位信号的连接关系;

3)使用24位微型开关中的23位控制信号确定运算与处理功能。

2、实验平台

硬件平台:清华大学TEC-XP实验箱的AM2901运算器部分

软件平台:PC端脱机运算器仿真程序

3、实验要求

1)了解TEC-XP运算器基本组件2901的工作原理;

2)了解TEC-XP实验箱是如何使用4片2901构成16位运算器;

3)了解并掌握24位控制开关相应的序列所实现的控制功能;

4)基于以上所学完成ppt最后要求的实验内容(控制信号表格)。

4、操作步骤及实验内容

1) 实验方式功能开关设置为:

1XX00

2) 运算器芯片为,该芯片为几位的芯片:

Am2901 4片4位芯片

3) 运算器四个标志位C、Z、V、S分别为什么含义:

C:进位标志位,C=1时表示有进位

Z:零标志位,Z=1时表示运算结果为0

V: 溢出标志位,V=1时表示溢出

S:符号标志位,S=1时表示为负

4)完成表格中操作,写出每部操作对应的指令,观察每部操作与控制信号的关系:

1.启动PC端脱机运算器仿真程序

图4.1

2.输入指令编码

注:在实验箱上,ALU输出不需要触发,而软件模拟器则需要鼠标点击触发,故表中压START前的ALU输出对应的是软件压START后的ALU输出

a.

图4.2 b.

图4.3 c.

图4.4 d.

图4.5 e.

图4.6 f.

图4.7 g.

图4.8 h.

图4.9 i.

图4.10 j.

图4.11 k.

图4.12 5)完成以下操作,并将控制信号添到表中:

功能

功能

对应汇编指

控制信号

I8-6I5-3I2-0SST SSH SCI B地址A地址

R_1_←1234MVRD01100011100100000001不用R_9_←789F MVRD01100011100100001001不用R_1_←R_9_-

R_1_

SUB011010001001000100011001 R_1_←R_1_+1INC01100001100100010001不用R_10_←R_1_MVRR011000100001000010100001 R10←R10Λ

R_1_

AND011100001001000010100001 Q←R_10_MVRR0110001000010000不用1010

控制作用基本指令集

或者扩展指

令集指令

Y的输出、通

用寄存器接

收、

Q寄存器接收

运算功

能选择

数据组合

选择状态

寄存器接

Cin B端口地址A端口地址

注:脱机运算器模拟程序只能输入4为二进制数,故5)在此不予演示。

实验三存储器扩展实验

实验时间:第周星期年月日节实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

1)深入理解计算机内存储器的功能、组成知识;

2)深入地学懂静态存储器芯片的读写原理和用它们组成教学计算机存储器系统的方法(即字、位扩展技术),控制其运行的方式;

注意:思考并对比静态和动态存储器芯片在特性和使用场合等方面的同异之处。

2、实验平台

硬件平台:清华大学TEC-XP实验箱、扩展芯片HN58C65p—25

软件平台:监控程序pcec16.exe、PC端指令集仿真软件

3、实验要求

1)完成硬件跳线连接、芯片扩充

2)掌握RAM和EEPROM在存储上的区别

3)完成验证代码编写

4、操作步骤及实验内容

1)实验功能开关设置及联机操作步骤(参考实验一);

00110

2) 完成HN58c65p-25两片EEPROM的物理扩展;

3)完成必要的跳线设置,课堂中扩展的EEPROM的地址范围为;

4000-5FFF

4)用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM(28系列芯片)存储性质上的异同,给出结果描述,并解释原理;

用E命令对RAM和EEPROM写入后,关闭电源,再打开电源,用D命令查看写入的内容,RAM在断电后不保存写入的内容,而EEPROM保存。

注:在模拟器环境下无法分辨二者区别,故在此不予演示。

5)用监控程序的A命令分别对RAM(6116)和扩展存储器EEPROM(28 系列芯片)进行读写,用U命令查看结果是否正确,如不正确,分析原因;

对于RAM来说正确,对于ROM来说不正确

原因:ROM读写比较缓慢,当一次烧录2个地址单元的内容时,第二个地址单元烧录不成功,便执行下一个地址单元了,因此为了烧录成功,需要在写入操作时,每写一个地址单元,等待1ms。

注:在模拟器环境下二者皆烧录正确,故在此不予演示。

6)基于以上所学完成:从键盘上输入一个0-9的数字,将从该数字开始到F的所有数据存储到扩展之后的5000开始的EEPROM存储器单元中。

图4.1

运行程序后,我们用D命令查看,数据已经存入;

图4.2

实验四中断实验

实验时间:第周星期年月日节实验室:实验台:

(以上部分由学生填写,如有遗漏,后果由学生本人自负)

1、实验目的

理解中断的原理,学习和掌握中断产生、响应、处理等技术,其中主要涉及到如下几点:

1)中断源的捕捉

2)中断向量表的查询

3)中断服务处理程序(ISR)

2、实验平台

硬件平台:清华大学TEC-XP实验箱的右下角中断按钮部分

软件平台:监控程序pcec16.exe、PC端中断实验仿真系统

3、实验要求

1)了解中断的原理

2)了解向量中断和非向量中断的区别

3)了解中断源、中断向量表以及中断服务处理程序之间的联系

4)搞清楚三个中断源的优先级,以及中断的嵌套规则

4、操作步骤及实验内容

1)实验功能开关设置;

00010

2)了解中断源按键在TEC—XP实验系统的位置,说明三个中断源的优先级(按左中右);

优先级左>中>右

3)给出三个中断源对应在中断向量表的地址;

左:240CH :JR 2460

中:2408H :JR 2440

右:2404H :JR 2420

4)解释三条扩展指令的使用方法和写法EI,IRET和DI;

EI:开中断指令,用E命令写入6E00(如图4.1)

图4.1

IRET:中断返回指令,用E命令写入EF00(如图4.2)

图4.2

DI:关中断指令,用E命令写入6F00(如图4.3)

图4.3

5)读懂实验ppt中的中断实例程序,编写程序实现如下内容:

a 主程序循环显示字母'M',如果捕捉到中断源1的时候在屏幕循环显示数字1;捕捉到中断源2的时候在屏幕上循环显示数字2;捕捉到中断源3的时候在屏幕上循环显示数字3;

b 并且需要实现在中断显示的过程中,如果按下任意键则中断返回到上一级程序继续运行;

c 实验以优先级为主要依据的嵌套

d自己编写三段中断服务子程序,不允许存在重叠(即避免使用事例程序2450地址处的程序)

(1)编写主程序

图4.4

(2)编写第一段中断子程序

图4.5

(3)编写第二、三段中断子程序(似第一段)

图4.6

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理实验题

一.这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份,可输出是本年是否为闰年的提示信息。 DATA SEGMENT ;定义数据段 INFON DB 0DH,0AH,'PLEASE INPUT A YEAR: $' Y DB 0DH,0AH,'THIS IS A LEAP YEAR! $' N DB 0DH,0AH,'THIS IS NOT A LEAP YEAR! $' W DW 0 BUF DB 8 DB ? DB 8 DUP(?) DATA ENDS STACK SEGMENT STACK DB 200 DUP(0) STACK ENDS CODE SEGMENT ASSUME DS:DATA,SS:STACK,CS:CODE START:MOV AX,DATA MOV DS,AX LEA DX,INFON ;在屏幕上显示提示信息 MOV AH,9 INT 21H LEA DX,BUF ;从键盘输入年份字符串 MOV AH,10 INT 21H MOV CL, [BUF+1] LEA DI,BUF+2 CALL DATACATE CALL IFYEARS JC A1 LEA DX,N MOV AH,9 INT 21H

JMP EXIT A1: LEA DX,Y MOV AH,9 INT 21H EXIT: MOV AH,4CH INT 21H 二.这是一个显示系统日期和时间的程序,运行时,在出现的提示信息中输入大写字母“D”,可显示系统当前日期;输入大写字母“T”,可显示系统当前时间;输入大写字母“Q”,可结束程序。 DATACATE PROC NEAR; PUSH CX; DEC CX LEA SI,BUF+2 TT1: INC SI LOOP TT1 ;LEA SI,CX[DI] POP CX MOV DH,30H MOV BL,10 MOV AX,1 L1: PUSH AX SUB BYTE PTR [SI],DH MUL BYTE PTR [SI] ADD W,AX POP AX MUL BL DEC SI LOOP L1 RET DATACATE ENDP

计算机组成原理

第一章 1.说明计算机系统的层次结构。 第一级是微程序级, 第二级是传统机器级, 第三级是操作系统级, 第四级是汇编语言级, 第五级是高级语言级, 第六级是应用语言级 2.冯诺依曼计算机的特点 计算机由运算器、存储器、控制器、输入输出设备五大部分组成 指令和数据以同等地位存放在存储器,并可按地址寻访 指令和数据均用二进制数表示 指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 指令在存储器内按顺序存放,通常指令是顺序执行的,在特定条件下,可根据运算结果或根据设定条件改变执行顺序 机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 3.计算机的工作步骤 (1)上机前的准备:建立数学模型、确定计算方法、编制解题程序 (2)上机运行 4.指令和数据都存储于存储器中,计算机如何区分他们? 计算机区分指令和数据有以下2种方法: 通过不同的时间段来区分指令和数据,即在取指令阶段(或取指微程序)取出的为指令,在执行指令阶段(或相应微程序)取出的即为数据。 通过地址来源区分,由PC提供存储单元地址的取出的是指令,由指令地址码部分提供存储单元地址取出的是操作数。 第三章 1、什么是总线,特点,为了减轻总线的负载,总线上的部件都应具备什么特点 总线是链接多个部件的信息传输线,是各部件共享的传输介质 特点:某一时刻只能有一路信息在总线上传输 总线上的部件应通过三态驱动缓冲电路与总线连通 2、总线的分类:片内总线;系统总线(数据总线,地址总线);控制总线;通信总线 3、总线的特性:机械特性,电气特性,功能特性,时间特性 4、总线的性能指标:总线宽度,总线带宽,时钟同步/异步,总线复用,信号线数,总线控

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理题(附答案)

计算机组成原理题解指南 第一部分:简答题 第一章计算机系统概论 1.说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 第四章主存储器 1.主存储器的性能指标有哪些?含义是什么? 存储器的性能指标主要是存储容量. 存储时间、存储周期和存储器带宽。 在一个存储器中可以容纳的存储单元总数通常称为该存储器的存储容量。 存取时间又称存储访问时间,是指从启动一次存储器操作到完成该操作所经历的时间。 存储周期是指连续两次独立的存储器操作(如连续两次读操作)所需间隔的最小时间。 存储器带宽是指存储器在单位时间中的数据传输速率。 2.DRAM存储器为什么要刷新?DRAM存储器采用何种方式刷新?有哪几种常用的刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 DRAM采用读出方式进行刷新。因为读出过程中恢复了存储单元的MOS栅极电容电荷,并保持原单元的内容,所以读出过程就是再生过程。 常用的刷新方式由三种:集中式、分散式、异步式。 3.什么是闪速存储器?它有哪些特点? 闪速存储器是高密度、非易失性的读/写半导体存储器。从原理上看,它属于ROM型存储器,但是它又可随机改写信息;从功能上看,它又相当于RAM,所以传统ROM与RAM的定义和划分已失去意义。因而它是一种全新的存储器技术。 闪速存储器的特点:(1)固有的非易失性,(2)廉价的高密度,(3)可直接执行,(4)固态性能。4.请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 第五章指令系统 1.在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长?哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。 2.一个较完整的指令系统应包括哪几类指令? 包括:数据传送指令、算术运算指令、逻辑运算指令、程序控制指令、输入输出指令、堆栈指令、字符串指令、特权指令等。 3.什么叫指令?什么叫指令系统? 指令就是要计算机执行某种操作的命令 一台计算机中所有机器指令的集合,称为这台计算机的指令系统。 第六章中央处理部件CPU 1.指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。 2.简述CPU的主要功能。 CPU主要有以下四方面的功能:(1)指令控制程序的顺序控制,称为指令控制。 (2)操作控制 CPU管理并产生由内存取出的每条指令的操作信号,把各种操作信号送往相应部件,从而 控制这些部件按指令的要求进行动作。 (3)时间控制对各种操作实施时间上的控制,称为时间控制。 (4)数据加工对数据进行算术运算和逻辑运算处理,完成数据的加工处理。 3.举出CPU中6个主要寄存器的名称及功能。 CPU有以下寄存器: (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理上机实验报告

《计算机组成原理实验》课程实验报告 实验题目组成原理上机实验 班级1237-小 姓名 学号 时间2014年5月 成绩

实验一基本运算器实验 1.实验目的 (1)了解运算器的组成原理 (2)掌握运算器的工作原理 2.实验内容 输入数据,根据运算器逻辑功能表1-1进行逻辑、移位、算术运算,将运算结果填入表1-2。 表 1-1运算器逻辑功能表 运算类 A B S3 S2 S1 S0 CN 结果 逻辑运算65 A7 0 0 0 0 X F=( 65 ) FC=( ) FZ=( ) 65 A7 0 0 0 1 X F=( A7 ) FC=( ) FZ=( ) 0 0 1 0 X F=( ) FC=( ) FZ=( ) 0 0 1 1 X F=( ) FC=( ) FZ=( ) 0 1 0 0 X F=( ) FC=( ) FZ=( ) 移位运算0 1 0 1 X F=( ) FC=( ) FZ=( ) 0 1 1 0 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 0 1 1 1 0 F=( ) FC=( ) FZ=( ) 1 F=( ) FC=( ) FZ=( ) 算术运算 1 0 0 0 X F=( ) FC=( ) FZ=( ) 1 0 0 1 X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 0X F=( ) FC=( ) FZ=( ) 1 0 1 1 X F=( ) FC=( ) FZ=( ) 1 1 0 0 X F=( ) FC=( ) FZ=( ) 1 1 0 1 X F=( ) FC=( ) FZ=( ) 表1-2运算结果表

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理论文

合肥学院 课程论文 题目计算机类课程综述类论文 系部计算机科学与技术 专业计算机科学与技术 班级10计本(2)班 学生姓名王仲秋 2012 年 5 月10 日 计算机类课程综述

内容摘要 计算机组成原理是计算机专业人员必须掌握的基础知识。显而易见《计算机组成原理》是计算机科学与技术专业的一门核心的专业必修课程。本课程侧重于讲授计算机基本部件的构造和组织方式、基本运算的操作原理以及部件和单元的设计思想等。但计算机硬件技术的发展十分迅速,各类新器件、新概念和新内容不断涌现,这就要求我们要与时俱进,自主学习新知识。计算机是一门应用广泛、使用面积广、技术含量高的一门学科和技术,生活中的任何一个角落都离不开计算机的应用,生活中的无处不在需要我们了解和清楚计算机的相关知识。本文从《计算机组成原理》基础课程的各个方面对计算机组成原理做了详细的解释。 关键字:构造组织方式基本运算操作原理设计思想 (一)、计算机组成原理课程综述 随着计算机和通信技术的蓬勃发展,中国开始进入信息化时代,计算机及技术的应用更加广泛深入,计算机学科传统的专业优势已经不再存在。社会和应用对学生在计算机领域的知识与能力提出了新的要求。专家们指出,未来10~15 年是我国信息技术发展的窗口期、关键期。 《计算机组成原理》是计算机科学与技术专业必修的一门专业主干课程。课程要求掌握计算机系统各部件的组成和工作原理、相互联系和作用,最终达到从系统、整机的角度理解计算机的结构与组成,并为后续课程的学习奠定基础。因此掌握计算机的组成原理就显得尤为重要,这就要求课程的编写要深入浅出、通俗易懂。本课程在体系结构上改变了自底向上的编写习惯,采用从外部大框架入手,层层细化的叙述方法。这样便更容易形成计算机的整体观念。 该课程总共分为四篇十章,第一篇(第1、2章)主要介绍计算机系统的基本组成、应用与发展。第二篇(第3、4、5章)详细介绍了出CPU外的存储器、输入输出系统以及连接CPU、存储器和I/O之间的通信总线。第三篇(第6、7、8、章)详细介绍了CPU(除控制单元外)的特性、结构和功能,包括计算机的基本运算、指令系统和中断系统等。第四篇(9、10章)专门介绍控制单元的功能,以及采用组合逻辑和微程序方法设计控制单元的设计思想和实现措施。 (二)、课程主要内容和基本原理

计算机组成原理实验一

_计算机_学院计算机科学与技术专业_10(5)班______组、学号3210006075 姓名钟柳贤协作者___________ 教师评定 实验题目_基础汇编语言程序设计_______________________ 一、实验目的: 1.学习和了解TEC-XP教学实验系统监控命令的用法; 2.学习和了解TEC-CP教学实验系统的指令系统; 3.学习简单的TEC-XP教学实验系统汇编程序设计; 二、实验设备与器材: TEC-XP+教学实验系统 仿真终端软件PCEC 三、实验内容: 1.学习联机使用TEC-XP教学实验系统和仿真终端软件PCEC。 2.使用监控程序的R命令显示/修改寄存器内容,D命令显示存储器内容,E命令修改存储器内容: 3.使用A命令写一小段汇编程序,U命令反汇编刚输入的程序,用G命令连续运行该程序,用T,P命令单步运行并观察程序单步执行情况: 四、实验步骤: 一、实验具体操作步骤 1.准备一台串口工作良好的PC机; 2.将TXC-XP放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3.将黑色的电源线一端接220V交流电源,另一端插在TEC—XP实验箱的电源插座里;4.取出通讯线,将通讯的9芯插头接在TEC—XP实验箱上的串口“COM1”或“COM2”上,另一端接到PC机的串口上; 5.将TEC—XP实验系统左下方的五个黑色的控制机器运行状态的开关置于正确的位置,在这个实验中开关应置为00110(连续、内存读指令、组合逻辑、联机、16位),控制开关的功能在开关上、下方有标示;开关拨向上方表示“1”,拨向下方表示“0”,“X”表示任意,其它实验相同; 6.打开电源,船形开关和5V电源指示灯亮。 7.在PC机上运行PCEC16.EXE文件,根据连接的PC机的串口设置所用PC机的串口为“1” 或“2”,其它设置一般不作改动,直接回车即可。 8.按一下“RESET”按键,再按一下“START”按键,主机上显示:

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

郑大远程教育《计算机组成原理》第10章在线测试

《计算机组成原理》第10章在线测试 剩余时间: 59:56 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、Amdahl 提出的系统结构定义中的程序设计是为________设计者所看到的计算机属性。D A、机器语言 B、C++ C、Java D、机器语言或编译程序设计者 2、Cache ,多模块交叉技术是属于________层次技术。A A、存储器 B、控制器 C、运算器 D、总线 3、________主要表现为时间重叠、资源重复和资源共享。C A、并发性 B、同时性 C、并行性 D、共享性

4、不属于RISC的特点的是________。B A、流水线结构 B、寻址种类多 C、指令长度固定 D、指令格式种类少 5、Intel公司制成的80386使得X86微处理器进入第________代。C A、一 B、二 C、三 D、四 第二题、多项选择题(每题2分,5道题共10分) 1、计算机并行性主要表现为哪些方面?ABC A、时间重叠 B、资源重复 C、资源共享 D、集中控制 2、并行性包含哪些方面的含义?AC A、同时性 B、稳定性 C、并发性 D、高效率

3、计算机系统结构、计算机组成和计算机实现三个概念之间的关系怎样?ABCDE A、系统结构是计算机系统的软、硬件的界面 B、计算机组成是计算机系统结构的逻辑实现 C、计算机实现是计算机组成的物理实现 D、一种计算机系统结构可以有多种组成实现 E、一种组成也可以有多种物理实现 4、按照指令流和数据流的不同组织方式,指令流和数据流的多重性,将计算机系统分为哪些类别?ABCD A、单指令流单数据流(SISD)计算机系统 B、单指令流多数据流(SIMD)计算机系统 C、多指令流单数据流(MISD)计算机系统 D、多指令流多数据流(MIMD)计算机系统 5、计算机更新换代的标志有哪些?AB A、计算机的器件 B、系统结构的特点 C、指令系统的复杂程度 D、CPU执行指令的速度 第三题、判断题(每题1分,5道题共5分) 1、遵循同一标准,具有开放系统特点的计算之间具有良好的“可移植性”和“互操作性”

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

《计算机组成原理》第10章在线测试

《计算机组成原理》第10章在线测试 《计算机组成原理》第10章在线测试剩余时间:59:55 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、Amdahl 提出的系统结构定义中的程序设计是为________设计者所看到的计算机属性。 A、机器语言 B、C++ C、Java D、机器语言或编译程序设计者 2、Cache ,多模块交叉技术是属于________层次技术。 A、存储器 B、控制器 C、运算器 D、总线 3、________主要表现为时间重叠、资源重复和资源共享。 A、并发性 B、同时性 C、并行性 D、共享性 4、不属于RISC的特点的是________。 A、流水线结构 B、寻址种类多 C、指令长度固定 D、指令格式种类少 5、Intel公司制成的80386使得X86微处理器进入第________代。 A、一 B、二 C、三 D、四 第二题、多项选择题(每题2分,5道题共10分) 1、计算机并行性主要表现为哪些方面? A、时间重叠 B、资源重复 C、资源共享 D、集中控制

2、并行性包含哪些方面的含义? A、同时性 B、稳定性 C、并发性 D、高效率 3、计算机系统结构、计算机组成和计算机实现三个概念之间的关系怎样? A、系统结构是计算机系统的软、硬件的界面 B、计算机组成是计算机系统结构的逻辑实现 C、计算机实现是计算机组成的物理实现 D、一种计算机系统结构可以有多种组成实现 E、一种组成也可以有多种物理实现 4、按照指令流和数据流的不同组织方式,指令流和数据流的多重性,将计算机系统分为哪些类别? A、单指令流单数据流(SISD)计算机系统 B、单指令流多数据流(SIMD)计算机系统 C、多指令流单数据流(MISD)计算机系统 D、多指令流多数据流(MIMD)计算机系统 5、计算机更新换代的标志有哪些? A、计算机的器件 B、系统结构的特点 C、指令系统的复杂程度 D、CPU执行指令的速度 第三题、判断题(每题1分,5道题共5分) 1、遵循同一标准,具有开放系统特点的计算之间具有良好的“可移植性”和“互操作性” 正确错误 2、只要是开放系统的计算机,其操作系统和应用程序即可互相交换使用,而不必作任何修改。

计算机组成原理实验报告材料

福建农林大学计算机与信息学院信息工程类实验报告系:计算机科学与技术专业:计算机科学与技术年级: 09级 姓名:张文绮学号: 091150022 实验课程:计算机组成原理 实验室号:___田405 实验设备号: 43 实验时间:2010.12.19 指导教师签字:成绩: 实验一算术逻辑运算实验 1.实验目的和要求 1. 熟悉简单运算器的数据传送通路; 2. 验证4位运算功能发生器功能(74LS181)的组合功能。 2.实验原理 实验中所用到的运算器数据通路如图1-1所示。其中运算器由两片74181

以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74373)锁存,锁存器的输入连接至数据总线,数据开关INPUT DEVICE用来给出参与运算的数据,并经过一个三态门(74245)和数据总线相连,数据显示灯“BUS UNIT”已和数据总线相连,用来显示数据总线内容。 图1-2中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至W/R UNIT的相应时序信号引出端,因此,在进行实验时,只需将W/R UNIT 的T4接至STATE UNIT的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,LDDR1,LDDR2,ALU-B,SW-B各电平控制信号用SWITCH UNIT中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平控制有效,LDDR1,LDDR2为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZYE1603B计算机组成原理教学实验系统一台,排线若干。 4.操作方法与实验步骤

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图

1. 4.74273的原理图与仿真图、

实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四.实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、

相关文档
最新文档