计算机组成原理课程设计习题
计算机组成原理试题及答案
计算机组成原理试题及答案一、选择题。
1. 下列哪个是计算机组成原理的基本概念?A. 数据结构。
B. 操作系统。
C. 计算机体系结构。
D. 算法。
答案,C。
2. 计算机组成原理的核心是什么?A. 中央处理器。
B. 内存。
C. 输入输出设备。
D. 总线。
答案,A。
3. 下列哪个不是计算机组成原理中的主要部件?A. 控制单元。
B. 运算器。
C. 存储器。
D. 输入设备。
答案,D。
4. 计算机组成原理中,数据和指令在内存中是以什么形式存储的?B. 十进制。
C. 八进制。
D. 十六进制。
答案,A。
5. 计算机组成原理中,控制单元的主要功能是什么?A. 控制数据传输。
B. 进行运算。
C. 存储数据。
D. 输入输出。
答案,A。
1. 计算机组成原理中,CPU的作用是进行数据处理和______。
答案,控制。
2. 内存是计算机中的______存储器。
答案,临时。
3. 计算机组成原理中,总线是连接各个部件的______。
答案,通信线路。
4. 控制单元的主要功能是______。
答案,控制数据传输和处理。
5. 计算机组成原理中,运算器负责进行______运算。
答案,算术和逻辑。
1. 简述计算机组成原理中的冯·诺依曼结构。
答,冯·诺依曼结构是一种计算机体系结构,主要包括存储器、运算器、控制器、输入设备和输出设备等五大部分。
其中存储器用于存储数据和指令,运算器用于进行算术和逻辑运算,控制器用于控制数据传输和处理,输入设备用于接收数据输入,输出设备用于显示处理结果。
2. 什么是指令周期?它与时钟周期有什么关系?答,指令周期是指计算机执行一条指令所需的时间,它包括取指令周期、执行周期和访存周期。
时钟周期是CPU中时钟脉冲的时间间隔,它决定了CPU的工作速度。
指令周期与时钟周期的关系在于,时钟周期是指令周期的基本单位,指令周期是由若干个时钟周期组成的。
四、综合题。
1. 简述计算机组成原理中的存储器层次结构。
答,计算机存储器层次结构包括寄存器、高速缓存、内存和外存等多个层次。
计算机组成原理试题及答案全套
计算机组成原理试题及答案全套第一部分:选择题1.下列关于计算机内存的说法,错误的是:A.内存是计算机的主要存储器件之一B.内存是临时存储器件,供程序运行时使用C.内存容量越大,计算机的性能越强D.内存分为主存和辅存,主存速度较快,但容量相对较小答案:C2.下列关于CPU的说法,错误的是:A.CPU是计算机的核心部件,负责执行指令和控制计算机的运行B.CPU由运算器、控制器和寄存器组成C.CPU的速度越快,计算机的运行速度越快D.CPU的主频越高,计算机的运行速度越慢答案:D3.下列关于指令周期的说法,错误的是:A.指令周期是CPU执行一条指令所需的时间B.指令周期包括取指令、译码、执行、访存四个阶段C.指令周期的长度取决于CPU的主频D.指令周期越短,CPU的执行效率越高答案:D4.下列关于存储器层次结构的说法,错误的是:A.存储器层次结构分为寄存器、高速缓存、主存和辅存B.存储器层次结构越高,存取速度越快,容量越小C.高速缓存是位于CPU和主存之间的高速存储器D.存储器层次结构的设计目标是在速度、容量和成本之间取得平衡答案:B5.下列哪项措施可以提高计算机系统的安全性?A.设置强密码B.定期更新操作系统和应用程序补丁C.安装杀毒软件和防火墙D.以上都是答案:D6.下列关于计算机硬盘的说法,错误的是:A.硬盘是一种磁存储设备,用于长期存储数据B.硬盘的读写速度相对较慢,但容量较大C.硬盘的存储介质是固态闪存芯片D.硬盘采用磁道、扇区和柱面的方式来寻址数据答案:C第二部分:填空题1.计算机系统由________、软件和人员三部分组成。
答案:硬件2.CPU的两个主要功能是执行________和控制计算机的运行。
答案:指令3.存储器层次结构的设计目标是在速度、________和成本之间取得平衡。
答案:容量4.计算机的存储器分为________和辅存两部分。
答案:主存5.操作系统的主要功能包括________管理、文件管理和用户接口等。
计算机组成原理练习题答案
计算机组成原理练习题答案一、填空题1.储器的要求是速度快,_容量大_____,_价位低_____。
为了解决这方面的矛盾,计算机采用多级存储体系结构。
2.系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。
3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。
4.一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。
5.的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。
6.令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。
7.一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中8.点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。
9.数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。
10.DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU 交替访问主存。
11. n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。
12.点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。
计算机组成原理习题含参考答案
计算机组成原理习题含参考答案一、单选题(共90题,每题1分,共90分)1、在下列寻址中,()寻址方式需要先运算再访问主存。
A、间接B、直接C、变址D、立即正确答案:C2、在链式查询方式下,若有N个设备,则()oA、只需一条总线请求线B、需要N条总线请求线C、视情况而定,可能一条,也可能N条总线请求线D、以上说法都不对正确答案:A3、以下有关I/O端口的叙述中,错误的是()oA、I/O接口中有命令端口、状态端口、数据端口B、I/O接口中命令端口和状态端口不能共用同一个C、I/O接口中程序可访问的寄存器被称为I/O端口D、I/O端口可以和主存统一编号,也可以单独编号正确答案:B4、用移码表示浮点数的阶码,其好处是()。
A、便于求阶差B、便于用比较电路比较阶的大小C、提高运算精度D、便于判别溢出正确答案:B5、在取指操作结束后,程序计数器中存放的是()。
A、程序中指令的数量B、当前指令的地址C、已经执行指令的计数值D、下一条指令的地址正确答案:D6、微指令格式分为水平型和垂直型,水平型微指令的位数(),用它编写的微程序()。
A、较短,较少B、较长,较短C、较多,较长D、较少,较短正确答案:B7、用二地址指令来完成算术运算时,其结果一般存放在()。
A、其中一个地址码提供的地址中B、栈顶C、累加器(ACC)中D、以上都不对正确答案:A8、为了缩短指令中某个地址段(或地址码)的位数,有效的方法是采取()。
A、变址寻址B、间接寻址C、立即寻址D、寄存器寻址正确答案:D9、从计算机系统结构上讲,机器语言程序员所看到的机器属性是()oA、编程要用到的硬件组织B、计算机硬件的全部组织C、计算机软件所要完成的功能D、计算机各部件的硬件实现正确答案:A10、用于笔记本电脑的大容量存储器是()oA、U盘B、软磁盘C、固态盘D、磁带正确答案:C11、D/A转换是()。
A、把数字量转换为模拟量,把转化结果输出计算机B、把计算机输出的模拟量转化为数字量C、把模拟量转化为数字量,把数字量输入到计算机D、把计算机输出的模拟量转为数字量正确答案:A12、程序P在机器M上的执行时间是20秒,编译优化后,P执行的指令数减少到原来的70%,而CP1增加到原来的1.2倍,则P在M上的执行时间是()oA、16.8秒B、8.4秒C、117秒D、14秒正确答案:A13、以下说法错误的是()oA、计算机的机器字长是指数据存储与运算的基本单位B、寄存器由触发器构成C、计算机中一个字的长度都是32位D、磁盘可以永久性存放数据和程序正确答案:C14、主设备通常指()oA、掌握总线权的设备B、发送信息的设备C、主要的设备D、接收信息的设备正确答案:A15、字长12位,用定点补码规格化小数表示时,所能表示的正数范围是()OA、1/2-(1-2-11)B、(1/2+2-11)-(1-2-11)C、2-11-(1-2-11)D、2-12-(1-2-12)正确答案:A16、ASCI1码是对()进行编码的一种方案。
计算机组成原理课后习题及答案(完整版)
精选2021版课件
7
• 3. 什么是摩尔定律?该定律是否永远生效 ?为什么?
• 答:P23,否,P36
精选2021版课件
8
系统总线
第三章
精选2021版课件
9
1. 什么是总线?总线传输有何特点? 为了减轻总线的负载,总线上的部件都应 具备什么特点?
解:总线是多个部件共享的传输部件; 总线传输的特点是:某一时刻只能有 一路信息在总线上传输,即分时使用; 为了减轻总线负载,总线上的部件应 通过三态驱动缓冲电路与总线连通。
解: 总线标准——可理解为系统与模块、 模块与模块之间的互连的标准界面。 总线标准的设置主要解决不同厂家各 类模块化产品的兼容问题; 目前流行的总线标准有:ISA、EISA、 PCI等; 即插即用——指任何扩展卡插入系统 便可工作。EISA、PCI等具有此功能。
精选2021版课件
16
11. 画一个具有双向传输功能的总线逻
12
总线的主设备(主模块)——指一次总
线传输期间,拥有总线控制权的设备(模块); 总线的从设备(从模块)——指一次总
线传输期间,配合主设备完成传输的设备(模 块),它只能被动接受主设备发来的命令;
总线的传输周期——总线完成一次完整 而可靠的传输所需时间;
总线的通信控制——指总线传送过程中 双方的时间配合方式。
精选2021版课件
10
4. 为什么要设置总线判优控制?常见的集 中式总线控制有几种?各有何特点?哪种方式响 应时间最快?哪种方式对电路故障最敏感?
解:总线判优控制解决多个部件同时申请总 线时的使用权分配问题;
常见的集中式总线控制有三种: 链式查询、计数器查询、独立请求;
特点:链式查询方式连线简单,易于扩充,
《计算机组成原理》习题参考答案
《计算机组成原理》习题参考答案习题一一、判断题⒈(√)⒉(√)⒊(√)⒋(×)⒌(×)⒍(×)⒎(√)⒏(×)⒐(√)⒑(√)二、单选题⒈⑴C ⑵B ⒉ C ⒊D 4. B 5. D6. C7. B8. C9. A 10. B三、填空题⒈⑴进行数据变换和算术、逻辑运算⑵为计算机的工作提供统一的时钟,按照程序,不断的取指令、分析指令,把指令中的操作码译码成相应的操作命令,并进行时序分配,变成相应的控制信号,驱动计算机的各部件按照节拍有序地完成程序规定的操作内容⒉⑴系统软件⑵应用软件⑶系统软件⑷控制和管理计算机的所有资源⒊⑴数字⑵模拟⑶处理的是数字量⑷处理的是模拟量⒋⑴字长⑵长⒌⑴计算机的地址总线的根数⑵=2地址总线根数⒍⑴内存储器⑵外存储器⒎计算机系统的运算速度指标,即每秒钟执行多少百万条指令⒏⑴曙光4000A ⑵10万⑶美国、日本)⒐⑴CPU ⑵CPU ⑶主机)⒑⑴机器语言⑵翻译程序)⒒计算机的运算速度与下列因素决定:⑴、⑵、⑶和⑷。
⒓某微处理器的地址总线有16条,则该微处理器所能直接访问的存储空间为⑴字节;若该存储空间的起始地址是0000H,那么最高地址应为⑵。
习题二一、判断题⒈(×)⒉(√)⒊(√)⒋(√)⒌(×)⒍(×)⒎(×)⒏(×)⒐(√)⒑(√)二、单选题⒈D ⒉C ⒊(1) D (2) A (3) C (4)B (5) B (6) A⒋ B ⒌ (1) A (2) D ⒍ (1) C (2) F (3) B (4) C (5) A⒎在计算机中,由于受有限字长的限制,用机器代码表示数时会产生误差,该误差称为(1) ;若取圆周率π=3.…的近似值为π*=3.1416,则它有 (2) 有效数字。
(1) A.相对误差 B.绝对误差 C.截断误差 D.测量误差(2) A.2位 B.3位 C.4位 D.5位⒏ (1) B (2) A (3) D (4)C (5) B⒐ (1) (2) ; (3) (4) (5) 。
计算机组成原理习题及答案
第一章1.2.1填空题1.第一代计算机的逻辑器件,采用的是();第二代计算机的逻辑器件,采用的是();第三代计算机的逻辑器件,采用的是();第四代计算机的逻辑器件采用的是()。
答案:①电子管②晶体管③中小规模集成电路④大规模,超大规模集成电路2.计算机系统由()系统和()系统构成。
答案:①硬件②软件3.用二进制代码表示的计算机语言称为(),用助记符编写的语言称为()。
答案:机器语言汇编语言4.计算机系统的三个层次结构由内到外分别是(),系统软件,()答案:硬件系统软件系统5.()与()。
输入/输出接口和系统总线合称为主机。
答案:CPU 存储器6.用高级语言编写的程序称为()程序,经编译程序或解释程序翻译后成为()程序。
答案:源目标(机器语言)7.将源程序翻译为目标程序(机器语言)的软件是()和()答案:编译程序解释程序8.程序设计语言一般可分为三类:(),(),()。
答案:机器语言汇编语言高级语言9.解释程序是边解释边执行,不会生成()答案:目标程序10.编译方式是使用编译程序把源程序编译成机器代码的(),并以()的形式保留。
答案:目标程序文件11:计算机软件一般分为两大类:一类叫做(),另一类叫做(),操作系统属于()类。
答案:系统软件应用软件系统软件12.计算机的工作特点是(),(),()和()。
答案;快速性通用性准确性逻辑性13.计算机系统的层次结构中,位于硬件系统之外的所有层次统称为()。
答案:虚拟机14.现在主要采用()结构作为计算机硬件之间的连接方式。
答案:总线15.计算机的硬件系统包括(),(),(),输入/输出设备。
答案:运算器存储器控制器16.在计算机术语中,将运算器,存储器,高速缓冲存储器合在一起,称为(),而将(),存储器,输入/输出接口和系统总线合在一起,称为()。
答案;CPU CPU 主机17.存储(),并按()顺序执行,这是()型计算机的工作原理。
答案:程序地址冯·诺伊曼18.在图1.1中填入计算机硬件系统基本组成部件的名称:分析:B控制每个部件,所以B是控制器;运算器和控制器组成CPU,所以A是运算器;D只有输入的数据通路,所以D是输入设备;E只有输出的数据通路,所以E是输出设备;计算机由运算器,控制器,存储器,输入设备和输出设备组成,所以C是存储器。
计算机组成原理习题及答案
计算机组成原理习题及答案简答题1.什么是存储程序原理?按此原理,计算机应具有哪几大功能?1.请问:存储程序原理就是用户事先编号的程序先取走主存中,然后cpu在从主存中抽出指令、运转指令。
按此原理,计算机应当具备输入输出设备,即为能够把程序和数据通过输入输出设备送进主存中;还应当具备存储设备,即为内存能够存储程序和数据;最后应当具备控制器和运算器,即cpu能够从主存中抽出程序和数据,并按顺序一条一条抽出、继续执行。
2.存储器与cpu相连接时,应当考量哪些问题?2.答:应考虑(1)合理选择存储芯片,要考虑芯片的类型和数量尽量使连线简单方便;(2)地址线的连接,将cpu地址线的低位与存储芯片的地址线相连,以选择芯片中的某一单元,儿高位则在扩充存储芯片时用,用来选择存储芯片;(3)数据线的连接,cpu的数据线与存储芯片的数据线不一定相等,相等时可直接连接,不相等时要对存储芯片进行扩位,使其相等连接;(4)读/写控制线的连接,高电平为读,低电平为写;(5)片选线的连接,cpu的高位地址来产生片选信号。
3.什么叫做地址重合区,什么情况下可以产生地址重合区?3.答:存储系统中的某些存储单元有多个地址,这种现象叫地址重叠区。
原因是,存储系统是由多个芯片组成的,在产生片选信号时,如果只用部分高位地址来产生片选信号,则有一部分高位地址线没用,这样这部分地址线的值就不确定,可以为0也可以为1,所以存储芯片的地址空间也不确定了,出现了重复值,产生了地址重叠区。
4.什么叫“对准字”和“未对准字”,cpu对二者的访问有何不同?4.请问:cpu出访的数据类型存有字节,半字,字和双字。
若字长为32十一位,则字节8十一位,半字16十一位,字32十一位,双字64十一位。
对准字就是存储这四种数据类型时,字节的初始地址任一,半字的初始地址为2的倍数,字的初始地址为4的倍数,双字的初始地址为8的倍数。
未对准字就是四种数据类型在存储时都任一,无论从哪个存储单元已经开始都可以。
计算机组成原理习题及答案
计算机组成原理习题及答案1 常用的I/O方式中, __程序查询方式_______ 是完全由软件实现I/O 操作的方式.2 CPU从主存取出一条指令并执行该指令的时间叫做_指令周期_____,它常用若干个 _机器周期_____来表示,而后者又包含有若干个时钟周期3 总线是构成计算机系统的互连机构, 是多个__系统功能 ____部件之间进行数据传送的__公共____通道。
4 Cache是一种_高速缓冲_____存储器,是为了解决CPU和__主存____之间_速度_____上不匹配而采用的一项重要硬件技术。
5 总线的仲裁方式包括__集中_____仲裁和__分布_____仲裁1.在计算机中,经常采用的数据校验码是奇偶校验、海明校验和 CRC循环校验校验。
2.并行存储器结构有双端口存储器和多模块交叉存储器两种。
3.复杂指令系统的计算机简称为CISC ,精简指令系统的计算机简称为 RISC 。
4. 控制器中必须有的两个寄存器是 PC 和 IR 。
5.总线仲裁的方式有集中式和分布式两种。
6.磁盘存储器的访问时间主要包括平均等待时间时间、平均找道时间时间和数据传输时间。
7.主机与外设间的数据交换方式有程序查询方式、程序中断方式、DMA方式和通道方式等。
并行存储器结构有-双端口-和-多体交叉-两种。
8 复杂指令系统的计算机简称为CSIC、精简指令系统的计算机简称为RSIC。
9 控制器中必须有的两个寄存器是IR和PC。
10 总线仲裁的方式有集中式和分分散式两种。
10 磁盘存储器的访问时间主要包括寻道时间、等待时间和数据传输时间。
11 常见的主机与外设间的数据交换方式有程序查询方式、中断方式、DMA方式、通道方式和外围处理机方式。
12 浮点数加减法运算的步骤大体分五步: 0操作数检查、对阶、尾数加减、规格化和舍入处理等。
13 CACHE的写操作策略有全写和写回、写一次法三种。
14 一般机器指令由操作码和地址码组成。
15 微指令的基本格式可分为水平和垂直两种。
计算机组成原理·第六版(课后习题)第一章
计算机组成原理·第六版(课后习题)第⼀章第⼀章计算机系统概论1. ⽐较电⼦数字计算机和电⼦模拟计算机的特点电⼦数字计算机中处理的信息是在时间上离散的数字量,运算过程是不连续的;电⼦模拟计算机中处理的信息是连续的变化的物理量,运算过程是连续的。
2. 数字计算机如何分类?分类的依据是什么?分为专⽤计算机和通⽤计算机分类依据是计算机性能、速度、价格、运⾏的经济性3. 数字计算机有哪些应⽤ ?科学计算、⼈⼯智能、家⽤电器、测量等4. 冯·诺依曼型计算机的主要设计思想是什么?它包括哪些组成部分?主要设计思想:1)采⽤存储程序的⽅式编织好的程序和数据都存放在同⼀存储器中,2)计算机可以在⽆⼈⼲预的请扩下⾃动完成逐条指令的取出和执⾏指令的任务3)指令和数据均以⼆进制码的形式存储在计算机中组成部分:运算器、存储器、I/O设备、逻辑器、5. 什么是存储容量?什么是单元地址?什么是数据⾃?什么是指令字?存储容量:存储器中所有存储单元的总数单元地址:每个存储单元的编号数据字:某字代表要处理的数据指令字:某字为⼀条指令6. 什么是指令?什么是程序?指令:计算机硬件可以直接执⾏的每⼀个基本的算术运算或逻辑运算的操作程序:解算某⼀问题的⼀串指令寻列7. 指令和数据均存放在内存中,计算机如何区分他们是指令还是数据?指令:取指周期中从内存读出的信息流数据:执⾏器周期中内存读取的信息流8. 计算机的系统软件包括哪⼏部分?说明他们的⽤途。
半导体存储器称为内存存储容量更⼤的磁盘存储器和光盘存储器称为外存内存和外存共同来保存⼆进制数据运算器和控制器合称中央处理器,简称CPU ⽤来控制计算机以及进⾏算术逻辑运算配适器是外围设备与主机联系的桥梁,相当于转换器,使主机和外围设备并⾏协调⼯作9. 计算计的系统软件包括哪⼏类?说明他们的⽤途包括系统程序和应⽤程序。
系统程序⽤于简化程序设计,提⾼计算机使⽤效率应⽤程序是⽤户利⽤计算机来解决某些问题⽽编制的程序10. 现代计算机系统如何进⾏多级划分?这种分级观点对计算机设计会产⽣什么影响?微程序设计级机器语⾔级操作系统级汇编语⾔级⾼级语⾔级⽤⼀系列的级来组成计算机的借⼝对于掌握计算机是如何组成的提供了良好的结构和体制分级的挂念来设计计算机保证产⽣⼀个良好的系统结构也是很有帮助的11. 为什么软件能够转化为硬件?硬件能转化为软件?实现这种转化的媒介是什么?应为任何操作可以由软件来实现,也可以由硬件来实现;任何指令的执⾏可以由软件完成,也可以由硬件完成,实现这种转化的媒介是软件与硬件的逻辑等价性。
计算机组成原理习题及答案
概论一、选择题:1.1946年研制成功的第一台电子数字计算机称为」_。
A.EDVACB.ENIACC.EVNACD.EINAC2•完整的计算机系统应包括__D.A..运算器、存储器、控制器B.外部设备和主机C.主机和存储器D.配套的硬件和软件设备3•计算机系统中的存储器系统是指__D.A.RAM存储器B.ROM存储器C.内存储器D.内存储器和外存储器4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C.A..节约元件B.运算速度快C.物理器件性能所致D.信息处理方便5.计算机硬件能直接执行的只有_B___.A.符号语言B.机器语言C.机器语言和汇编语言D.汇编语言二、填空题:1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__.2.在计算机术语中,将运算器和控制器合在一起称为_CPU_,而将_CPU_—和存储器合在一起称为__主机__.3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件.4•计算机系统中的存储器分为—内存储器_和_外存储器—.在CPU执行程序时,必须将指令存放在_内存储器__中.5.输入、输出设备以及辅助存储器统称为_外部设备___.6•计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位.7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息.三、衡量计算机性能的基本指标有哪些?答:1.基本字长2.数据通路宽度3.运算速度:包括CPU时钟频率和数据传输率4.存储器的容量:包括主存储器的容量和外存储器的容量5.外围设备及其性能6.系统软件配置运算方法和运算器一、选择题:1. _______________ 在机器数中,__B的零的表示形式是唯一的.A.原码B.补码C.反码D.原码和反码3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B_—码.A.原B.补C.反D.移4.运算器虽有许多部件组成,但核心部分是__B.A.数据总路线B.算术逻辑运算单元C.多路开关D.通用寄存器5.在定点二进制运算器中,减法运算一般通过__D来实现.A.原码运算的二进制减法器B.补码运算的二进制减法器C.补码运算的十进制加法器D.补码运算的二进制加法器6.在定点运算器中,无论采用双符号位还是单符号位,必须有__C___,它一般用来实现.A.译码电路,与非门B.编码电路,或非门C.溢出判断电路,异或门D.移位电路,与或非门7. _______________________________ 在定点运算中产生溢出的原因是__C.A.运算过程中最高位产生了进位或借位B.参加运算的操作数超出了机器的表示范围C.运算的结果的操作数超出机器的表示范围D.寄存器的位数太少,不得不舍弃最低有效位二、计算题:1.把十进制数X=(+128.75)X2-1。
计算机组成原理试题及答案
计算机组成原理试题及答案一、选择题1. 在计算机中,字长是指()A. 计算机的内存容量B. CPU一次能处理的数据的位数C. 计算机的硬盘容量D. 计算机的显示器分辨率答案:B2. 冯·诺伊曼计算机体系结构的主要特点是()A. 程序存储B. 程序控制C. 程序存储和程序控制D. 程序执行答案:C3. 在计算机中,浮点数的表示方式是()A. 定点小数B. 科学记数法C. 指数形式D. 十进制数答案:C4. 计算机的指令周期包括()A. 指令取值和指令执行B. 数据取值和数据执行C. 指令取值、数据取值和指令执行D. 指令执行和数据执行答案:A5. 在计算机系统中,主存储器的主要作用是()A. 长期存储数据和程序B. 临时存储数据和程序C. 长期存储操作系统D. 临时存储操作系统答案:B二、填空题1. 计算机的中央处理器(CPU)主要由________和________组成。
答案:算术逻辑单元(ALU);控制单元(CU)2. 在计算机系统中,________是用于暂时存储指令和数据的存储器。
答案:寄存器3. 计算机的存储系统通常包括主存储器和________。
答案:辅助存储器4. 计算机的输入设备包括键盘、鼠标等,而输出设备包括显示器、打印机等。
其中,________是计算机最基本的输入设备。
答案:键盘5. 在计算机中,指令的执行通常分为取指、分析和________三个阶段。
答案:执行三、简答题1. 简述计算机的五大基本组成部件。
答案:计算机的五大基本组成部件包括:输入设备、输出设备、存储器、中央处理器(CPU)和总线。
2. 解释什么是指令流水线,并简述其优缺点。
答案:指令流水线是一种在计算机中用于提高指令执行效率的技术,它将指令的执行过程分解为多个阶段,每个阶段可以并行处理不同的指令。
优点包括提高指令执行速度和CPU利用率;缺点包括资源冲突、流水线冒险等。
四、计算题1. 假设一个计算机的字长为32位,计算其最大正整数的值。
计算机组成原理习题——带答案.doc
第四章1.一个容量为 16K× 32 位的存储器,其地址线和数据线的总和是多少?当选用下列不同规格的存储芯片时,各需要多少片?1K×4 位, 2K× 8 位, 4K ×4 位, 16K×1 位, 4K ×8 位, 8K×8 位地址线和数据线的总和= 14 + 32 = 46 根;选择不同的芯片时,各需要的片数为:1K × 4 :( 16K ×32 )/ ( 1K × 4 )= 16 × 8 = 128 片2K × 8 :( 16K ×32 )/ ( 2K ×8 )= 8 × 4 = 32 片4K × 4 :( 16K ×32 )/ ( 4K × 4 )= 4 × 8 = 32 片16K × 1 :( 16K ×32 ) / ( 16K × 1 )= 1 × 32 = 32 片4K × 8 :( 16K ×32 ) / ( 4K ×8 )= 4 × 4 = 16 片8K× 8:( 16K×32) / ( 8K×8) = 2 × 4 = 8 片2.现有 1024×1 的存储芯片,若用它组成容量为16K×8 的存储器。
试求:(1)实现该存储器所需的芯片数量?(2)若将这些芯片分装在若干块板上,每块板的容量为 4K× 8 位,该存储器所需的地址线总位数是多少?其中几位用于选板?几位用于选片?几位用做片内地址?16K × 8=2^14 × 8,地址线为14 根 .4K × 8 容量的板 ,共需要 4 块板子 .则 14 根地址线的最高2 位用于板选( 00 ~ 11, 第 1 块板子~第 4 块板子) ,4K*8 位= 2^12*8 位= 12*1K*8 位 ,也就是在每块板子内需要 4*8 个芯片 ,而每 8 个芯片组成 8 位 ,也就是位扩展 .也就是说需要 4 组 , 则除了最高 2 位 ,剩余的12 位中 ,有 2 位用于片选( 00 ~ 11, 第一组~第 4 组) .也就是: 2 位用于板选,2 位用于片选,剩余的 10 位用于片内地址选择 .3.已知某计算机字长 8 位,现采用半导体存储器作主存,其地址线为16 位,若使用 1K ×4 的 SRAM 芯片组成该机所允许的最大主存空间,并采用存储模块结构形式。
计算机组成原理习题(附参考答案)
计算机组成原理习题(附参考答案)一、单选题(共90题,每题1分,共90分)1、在统一编址方式下,下面的说法()是正确的。
A、一个具体地址只能对应内存单元B、一个具体地址既可对应输入/输出设备,又可对应内存单元C、一个具体地址只能对应输入/输出设备D、只对应输入/输出设备或者只对应内存单元正确答案:D2、堆栈指针SP的内容是()oA、栈顶地址B、栈顶内容C、栈底内容D、栈底地址正确答案:A3、下列不属于程序控制指令的是()。
A、循环指令B、无条件转移指令C、条件转移指令D、中断隐指令正确答案:D4、计算机的存储系统是指()。
A、cache,主存储器和外存储器B、主存储器C、ROMD、RAM正确答案:A5、指令是指()。
A、计算机中一个部件B、发给计算机的一个操作命令C、完成操作功能的硬件D、通常用于构成主存的集成电路正确答案:B6、相对于微程序控制器,组合逻辑控制器的特点是()。
A、指令执行速度慢,指令功能的修改和扩展容易B、指令执行速度慢,指令功能的修改和扩展难C、指令执行速度快,指令功能的修改和扩展容易D、指令执行速度快,指令功能的修改和扩展难正确答案:D7、中断向量可提供()。
A、主程序的断点地址B、传送数据的起始地址C、被选中设备的地址D、中断服务程序入口地址正确答案:D8、迄今为止,计算机中的所有信息仍以二进制方式表示的理由是()oA、信息处理方便B、物理器件性能所致C、运算速度快D、节约元件正确答案:B9、相联存储器是按()进行寻址的存储器。
A、内容指定方式B、地址指定与堆栈存取方式结合C、堆栈存取方式D、地址指定方式正确答案:A10、若SRAM芯片的容量是2MX8位,则该芯片引脚中地址线和数据线的数目之和是()。
A、29B、21C、18D、不可估计正确答案:A11、若X=I03,尸-25,则下列表达式采用8位定点补码运算实现时,会发生溢出的是()oA^ x+yB、-x+yC> -χ-yD^ χ-y正确答案:D12、系统总线是指()oA、CPU、主存和外围设备之间的信息传送线B、运算器、寄存器和主存之间的信息传送线C、运算器、控制器和寄存器之间的信息传送D、运算器、寄存器和外围设备之间的信息传送线正确答案:A13、CPU可直接编程访问的存储器是()。
计算机组成原理试题集(含答案)
计算机组成原理试题一一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。
)1.若十进制数据为137.5则其八进制数为(B )。
A、89.8B、211.4C、211.5D、1011111.1012.若x补=0.1101010,则x原=(A )。
A、1.0010101B、1.0010110C、0.0010110D、0.11010103.若采用双符号位,则发生正溢的特征是:双符号位为(B)。
A、00B、01C、10D、114.原码乘法是(A )。
A、先取操作数绝对值相乘,符号位单独处理B、用原码表示操作数,然后直接相乘C、被乘数用原码表示,乘数取绝对值,然后相乘D、乘数用原码表示,被乘数取绝对值,然后相乘5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。
A、立即寻址B、变址寻址C、间接寻址D、寄存器寻址6.下列数中,最小的数是(A)。
A.(101001)2B.(52)8C.(2B)16D.457.下列数中,最大的数是(D)。
A.(101001)2B.(52)8C.(2B)16D.458.下列数中,最小的数是(D)。
A.(111111)2B.(72)8C.(2F)16D.509.已知:X=-0.0011,Y= -0.0101。
(X+Y)补= ( A)。
A.1.1100B.1.1010C.1.0101D.1.100010.一个512KB的存储器,地址线和数据线的总和是(C )。
A.17 B.19C.27D.3611.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。
A.64K B.32KB C.32K D.16KB12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。
A.21B.17C.19D.2012.计算机内存储器可以采用(A)。
A.RAM和ROMB.只有ROMC.只有RAMD.RAM和SAM13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。
计算机组成原理习题+参考答案
部分作业参考答案第四章13. 某8位微型机地址码为18位,若使用4K×4位的RAM芯片组成模块板结构的存储器,试问:(1)该机所允许的最大主存空间是多少?(2)若每个模块板为32K×8位,共需几个模块板?(3)每个模块板内共有几片RAM芯片?(4)共有多少片RAM?(5)CPU如何选择各模块板?解:(1)218 = 256K,则该机所允许的最大主存空间是256K×8位(或256KB);(2)模块板总数= 256K×8 / 32K×8= 8块;(3)板内片数= 32K×8位/ 4K×4位= 8×2 = 16片;(4)总片数= 16片×8 = 128片;(5)CPU通过最高3位地址译码选板,次高3位地址译码选片。
21.设某机主存容量为4MB,Cache容量为16KB,每字块有8个字,每字32位,设计一个四路组相联映象(即Cache每组内共有4个字块)的Cache组织,要求:(1)画出主存地址字段中各段的位数;(2)设Cache的初态为空,CPU依次从主存第0、1、2……99号单元读出100个字(主存一次读出一个字),并重复按此次序读8次,问命中率是多少?(3)若Cache的速度是主存的6倍,试问有Cache和无Cache相比,速度提高多少倍?答:(1)由于容量是按字节表示的,则主存地址字段格式划分如下:8 7 2 3 2(2)由于题意中给出的字地址是连续的,故(1)中地址格式的最低2位不参加字的读出操作。
当主存读0号字单元时,将主存0号字块(0~7)调入Cache(0组x号块),主存读8号字单元时,将1号块(8~15)调入Cache(1组x号块)…… 主存读96号单元时,将12号块(96~103)调入Cache(12组x号块)。
共需调100/8 13次,就把主存中的100个数调入Cache。
除读第1遍时CPU需访问主存13次外,以后重复读时不需再访问主存。
计算机组成原理例题习题
乘积的符号位为:xf⊕yf=0⊕1=1 因符号位单独考虑,算前求补器的 使能控制信号为0,经算前求补后输出 x′=1101,y′=1011,其中x′和y′分别 是x和y原码的数值位。
因算后求补器的使能控制信号为0,经算后求补后输出为 10001111,加上乘积符号位1,得 [x×y]原=1.10001111 所以 x×y=-0.10001111
第13页
被除数/余数 0101001 +[-y′]补 1001 1110001 + [y′]补 0111 001101 +[-y′]补 1001 11111 + [y′]补 0111 0110
商
q0=0
q1=1
q2=0
q3=1
说明 被除数[x′]补 第一步减去除数,即+[-y′]补 最高位向前产生的进位为0,即商0 向右错开1位,加上除数,即+[y′]补 最高位向前产生的进位为1,即商1 向右错开1位,减去除数,即+[-y′]补 最高位向前产生的进位为0,即商0 向右错开1位,加上除数,即+[y′]补 最高位向前产生的进位为1,即商1
第10页
【解】②带求补器的补码阵列乘法器 [x]补=0.1101 [y]补=1.0101 乘积的符号位为:xf⊕yf=0⊕1=1 因算前求补器的使能控制信号分别 为被乘数和乘数的符号位,经算前求补 后输出 1101 × 1011 1101 1101 0000 + 1101 。 10001111
x′=1101,y′=1011,其中x′和y′分别
故得 商q=q0q1q2q3=0101, 余数r=r3r4r5r6=0110 所以 [x÷y]原=1101,[余数]原=0110 其中,余数的符号位与被除数相同 即 x÷y=-101,余数=110
计算机组成原理(应用题与设计题)
一、综合题--设计题设计题 用4K*8的存储器芯片构成16KB的存储器,地址线为A15~A0,请设计。
方法一:采用位扩展1、需要几片芯片?2、 数据线有哪几位?3、 加至各芯片的地址线?4、画出该存储器逻辑结构图?MREQ*R/W*A11~A0方法二:采用字扩展1、需要几片芯片?2、 数据线有哪些?3、 加至芯片上的地址线有哪几位?4、 用来译码的地址有哪几位?5、 用来寻址的地址线有哪几位?6、画出该存储器的结构图?A12二、简单应用题—写出指令执行过程题1、加法指令(ADD)(1)ADD R1,R2执行过程如下:1)送地址:PC→MAR2)计算下一条地址:PC+1→PC3)取指令:DBUS→MDR,MDR→IR4)取第一个操作数:R1→Y5)取第二个操作数并执行运算:R2+Y→Z6)送结果:Z→R1(2)ADD R1,(R2)执行过程如下:1)送地址:PC→MAR2)计算下一条地址:PC+1→PC3)取指令:DBUS→MDR,MDR→IR4)取第一个操作数:R1→Y5)取第二个操作数并执行运算:R2→MAR,DBUS→MDR,MDR→B,B+Y→Z6)送结果:Z→R1(3)ADD (R1),R2执行过程如下:1)送地址:PC→MAR2)计算下一条地址:PC+1→PC3)取指令:DBUS→MDR,MDR→IR4)取第一个操作数:R1→MAR,DBUS→MDR,MDR→Y5)取第二个操作数并执行运算:R2+Y→Z6)送结果: R1→MAR,Z→MDR(4)ADD (R1),(R2)执行过程如下:1)送地址:PC→MAR2)计算下一条地址:PC+1→PC3)取指令:DBUS→MDR,MDR→IR4)取第一个操作数:R1→MAR,DBUS→MDR,MDR→Y5)取第二个操作数并执行运算:R2→MAR,DBUS→MDR,MDR→B,B+Y→Z6)送结果: R1→MAR,Z→MDR2、减法指令(SUB)(1)SUB R1,R2(2)SUB R1,(R2)(3)SUB (R1),R2(4)SUB (R1),(R2)只需要将ADD的第五步中的“+”改成“-”即可。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
该电路的功能是实现一个异或门逻辑电路,
当输入的a,b的值相同时,得到的c的输出值为0,
当输入的a,b的值相异(即不相同)时,得到的c的输出值为1
29.上面VHDL描述语句
elsif是否应为elseif?不是
30.分析下面的电路描述,体会多分支结构,说明电路的功能
是全局性数据对象;
19.写出常量、变量和信号的保留字
常量的保留字为CONSTANT
变量的保留字为VARIABLE
信号的保留字为SINALE
20.变量和信号的赋值表达式里哪个可以带AFTER(时间延迟)?
信号的赋值表达式里可以带延迟例如:A<=’1’AFTER 2 ms
21.在VHDL中已经预先定义了下面的一些类型,说明他们的名字
24.说明各种运算符号的含义
算术运算符:+:加,操作数数据类型为整数
-:减,操作数数据类型为整数
*:乘,操作数数据类型为整数和实数(包括浮点数)
/:除,操作数数据类型为整数和实数(包括浮点数)
MOD:取模,操作数数据类型为整数
REM:取余,操作数数据类型为整数
**:乘方,操作数数据类型为整数
ABS:取绝对值,操作数数据类型为整数
entity and2 is
Port ( a,b : in std_logic;
c : out std_logic);
end and2;
architecture Behavioral of and2 is
begin
--c<=a and b;
process (a,b)
variable tmp:std_logic_vector(1 downto 0);
'W'——弱信号不定'L'——弱信号0'H'——弱信号1'-'——不可能的情况
在使用该数据类型时,在程序中必须写出库说明语句和使用包集合的说明语句。
BIT只能取两个值,非1即0,而STD有9个取值.对于bit数据类型,clock'EVENT AND clock = '1'是检测信号的上升沿;而std_logic类型的数据则不可以这样做,原因是此数据类型的取值是很多选择的.用RISING_EDGE(clock)则可以进行信号的上升沿判断.(RISING_EDGE是VHDL语言在IEEE库中的标准程序包内的预定义函数.)
电平信号就是一种电压信号,它的特点就是在输入不变,供电不变,电路其他参数稳定的情况下,某一段时间中,保持一个相对固定的值。比如数字电路中的,高电平信号,低电平信号等等。
脉冲信号一般是由振荡电路产生的,振荡电路通过自我激励的形式(正反馈),形成一个特殊波形,然后通过非门这样的电路整形后就可以得到脉冲信号了。
BIT是 一个逻辑型的数据类型,端口为BIT类型时,该端口的信号取值只可能是“1”或“0”(逻辑值)
STD_LOGIC比BIT包含的内容丰富和完整的多,当然也包含了BIT类型,它是IEEE在1993年制定的新的标准(IEEESTD1164),具有9种不同的值:
'U'——初始值'X'——不定'0'——0'1'——1'Z'——高阻
begin
tmp:=a&b;
case tmp is
when "00" => c<='0';
when "01" => c<='0';
when "10" => c<='0';
when "11" => c<='1';
when others => c<='X';
end case;
end process;
end Behavioral;
23.Bit和std_logic的后面加VECTOR变化为什么类型?
变化为基于Bit或std_logic数据类型的数组,数组中每一个元素的数据类型都是Bit或std_logic即:
Type bit_vector is array(natural range<>) of bit
Type std_logic_vector is array(natural range<>) of std_logic
Signal,variable,entity ,architecture,,,,,,,
13.定义标识符时,可以使用英文字母(大小写都可以),可以使用数字字符0-9,可以使用下划线,但下划线不可以用在标识符的开始或结束,也不能连续使用,不能用关键字。下面列出了一些标识符,判断它们是否是合法的VHDL标识符.
该电路的功能是实现一个与门逻辑电路,
当输入的a,b的值为00时,得到的c的输出值为0;
当输入的a,b的值为01时,得到的c的输出值为0;
当输入的a,b的值为10时,得到的c的输出值为0;
当输入的a,b的值为1时,得到的c的输出值为1;
当输入的a,b的值为其他情况时,得到的c的输出值为不确定的值。
31.说明循环控制结构中NEXT和EXIT分别类似于C中那两个语句?
首字符必用字母
以上标识符中除了on以外都不是合法的VHDL标识符
14.VHDL标识符大小写英文字母是否做区分?
不作区分(关键字和标识符都不区分大小写)
15.说明字符串和位串的区别
字符串:
被双引号括起来的ASCII字符,如,“Hello”;
位串:
被双引号括起来的数字序列,其前冠以基数说明符;如,
B“0110_1111”,O“117”,X“FFE0”;
VHDL于1983年由美国国防部(DoD)发起创建,有IEEE-1706(87版)和IEEE-1706-1993(93版)两个版本,C语言
3.说明FPGA的英文含义或中文描述
FPGA是现场可编程门阵列,英文全名为Field Programmable Gate Array
4.说明EDA的英文含义或中文描述
9.构造体保留字是什么?在构造中主要定义什么内容?
Architecture,设计实体的内部结构和外部设计实体端口间的逻辑关系。
(一个设计实体的功能与(/或)结构描述)
10.库引用说明文件类似于C中的哪个文件?
类似于C语言中的.h头文件引用
11.端口定义的信号如果赋初植,用哪个符号?
:=
12.说出至少2个VHDL保留字
用于枚举与整数类型、及对应的一维数组之间的小于等于的关系操作;
26.下面是一个逻辑非门的VHDL描述,填空。本题主要联系单分支结构
entity and2 is
Port ( a : in std_logic;
b : out std_logic);
end and2;
architecture Behavioral of and2 is
(双向端口,可以从外部输入至实体,也可以从实体输入至外部)
BUFFER:缓冲端口。其功能与INOUT类似,区别在于当需要输入数据时,只允许内部回读输出的信号,即允许反馈。与INOUT模式相比,BUFFER回读的信号不是由外部输入的,而是由内部产生、向外输出的信号。
(单项端口(伪双向端口),可以从外部输入至实体,也可以从端口回读该输出值至实体,不可以从外部输入至实体)
(单项端口,从外部输入至实体)
OUT:输出端口,定义的通道为单向输出模式,规定数据只能通过此端口从实体向外流出,或者说可以将实体中的数据向此端口赋值。
(单项端口,从实体输出至外部)
INOUT:定义的端口确定为输入输出双向端口,即从端口的内部看,可以对此端口进行赋值,或通过此端口读入外部的数据信息;而从端口的外部看,信号即可由此端口流出,也可向此端口输入信号。
6.VHDL源文件保存后的扩展名是什么?
VHD
7.实体的保留字是什么?在实体中主要定义什么内容?
Entity,对设计实体与外部电路进行接口描述
(一个设计实体与外部的接口定义)
8.端口定义中的模式主要有那四种,分别说明它们的特点.
IN:输入端口,定义的通道为单向只读模式。规定数据只能此端口被读入实体。
XOR:异或,操作数数据类型为BIT,BOOLEAN,STD_LOGIC
符号运算符:+:正号,操作数数据类型为整数
-:负号,操作数数据类型为整数
连接运算符:&:字符串OR位串,操作数数据类型为一维数组
25.在VHDL里,符号“<=”有两个含义,分别说明它们的含义以及它们使用的场合
用于信号量除端口定义赋初值以外的赋值;
16.VHDL的注释符号和行分割符号分别是什么?
‘--’为注释符号,且只在该文本行有效;
‘;’为行分隔符,VHDL的语句行可写在不同文本行中;
(空格:除关键字、标志符自身中间不能插入空格外,其他地方可插入任意数目的空格)
17.VHDL的数据对象是常量、变量和信号,它们的赋值符号分别是什么?其中信号赋值符号有2个,分别用于什么位置?
EDA是(基于计算机的)电子设计自动化技术,英文全名为Electronic Design Automation
5.分别说明一个VHDL源文件包括那四部分,那一部分可以不需要?
库引用说明(library),实体说明(entity),结构体(architecture),配置说明(configuration)四部分,其中配置说明部分可以不需要
Boolean(布尔数据类型)
Bit(位数据类型)
Bit_vector(位矢量数据类型)
Character(字符数据类型)