基于FPGA的数字交通灯控制器设计开题报告定版

合集下载

基于FPGA的智能交通灯控制器

基于FPGA的智能交通灯控制器

数字逻辑课程设计报告题目:基于FPGA的智能交通灯控制器课程名称:数字逻辑课程设计专业班级:学号:姓名:报告日期:2013-9-12计算机科学与技术学院1. 实验目的通过V erilog的编程,深入了解并掌握可编程芯片的使用技术,完成规定的设计任务,加强对《数字逻辑》课程所学知识的理解,培养学生创造性思维能力和独立解决实际问题的能力。

2. 实验内容用V erilog代码实现智能交通灯信号控制器设计,具体内容及要求如下:(1)在主干道与次干道公路十字交叉路口,为确保人员、车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。

红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。

(2)主干道和次干道公路十字交叉路口都安装了车辆检测传感器(C),要求如下:(A)在每日的早、晚高峰时段,双边“C=1”则主干道通行时间是次干道通行时间的2倍;(B)全天主、次干道有车一方有优先通行权;(3)主干道公路路口安装有人员通过请求按钮(PQ),一旦有请求信息,控制器应给与放行。

(4)Online控制信号由交通控制中心发出,(Online=1)一旦它有效,则主干道放行,十字交叉路口控制器“失效”,Online=0十字交叉路口控制器恢复控制权。

(6)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。

(7)用“开关”代替传感器作为人员通过请求和车辆是否到来的信号。

用红、绿、黄三种颜色的发光二极管作交通灯。

(8)要求显示时间,倒计时。

3. 实验环境PC 个人计算机、ISE14.2 软件、Adept软件、开发板Basys2、USB下载线4. 实验设计方案4.1 输入输出与引脚分配说明4.1.1输入信号:PQ,人员请求信号——接板子“E2”开关ONLINE, 控制中心接管信号——接“N3”SET, 控制器开关——接“P11”RST, 复位开关——接“L3”RUSH, 高峰判断开关——接“F3”CM, 主道车辆传感器——接“G3”CC, 支道车辆传感器——接“B4”CLK, 系统时钟——接“B8”4.1.2输出信号:[2:0] ML, ML0~2 主道绿、黄、红灯——分别接“G1、P4、N4”[2:0] CL , CL0~1 支道绿、黄、红灯——分别接“P7、M11、M5”[6:0] a_to_g, 七段数码管接口——a_to_g0~6分别接“M12、L13、P12、N11、N14、H12、L14”[3:0] an, 四选一控制接口——an0~4分别接“F12、J12、M13、K14”4.2 模块图4.2.1 模块层级图4.2.2 模块1.时钟生成模块4.2.3 模块2. 控制模块【1】输入信号input wire clk1s, 近似1s的时钟信号input wire PQ, 人员请求信号input wire ONLINE, 控制中心请求信号input wire SET, 控制器开关信号input wire RST, 复位信号input wire RUSH, 高峰信号input wire CM, 主道车辆检测信号input wire CC, 支道车辆检测信号【2】输出信号output reg [2:0] ML, 主道信号灯接口output reg [2:0] CL, 支道信号灯接口output [7:0] ACOUNT,存放主道两个时间数字的8421码output [7:0] BCOUNT,存放支道两个时间数字的8421码(ACOUNT、BCOUNT整合到顶层文件b[15:0]中,方便调用七段显示模块)【3】模块内部流程图4.2.4 模块3. 七段显示模块【1】显示原理使用同步扫描电路,对4位数码管的控制端口进行扫描,每一个时刻只有一个数码管亮,只要设置足够快的频率扫描,由于眼睛的视觉停留效应,就会使得显示结果达到4位同时亮的效果。

基于FPGA的交通灯的设计

基于FPGA的交通灯的设计

课程设计一、设计任务要求基于FPGA的交通灯控制器设计1、总体要求:实现十字路口的交通灯有序显示2、具体要求:按照开发板上的两组红、黄、绿做为南北双向指示灯红灯亮60秒,绿灯亮55秒,黄灯亮5秒要求采用状态机实现状态切换3、附加要求:采用两组两位数码管实现时间倒计时显示二、设计思路1、总体设计方案由设计任务要求可知输入部分有:CLK时钟频率输入,可由实验板上直接提供,本设计选用1kHZ时钟频率。

输出部分有:1)东西方向和南北方向各使用3个LED显示,红黄绿各代表红黄绿灯。

2)东西方向和南北方向计时均为2位数,共需要4个LED七段数码管显示。

由于为共阴极控制,输出三个SEL0,SEL1,SEL2信号控制选择数码管显示,A,B,C,D,E,F,G信号为输出显示的内容。

3)R1,G1,Y1;R2,G2,Y2信号分别为东西南北红绿灯的输出控制信号。

总体设计软件原理图如下所示设计方案原理图:图1A对应13脚; B对应30脚;C对应15脚; D对应31脚;E对应33脚; F对应32脚;G对应35脚; R1对应4脚;R2对应5脚;Y1对应3脚;Y2对应10脚;G2对应8脚;SEL0对应14脚; SEL1对应11脚;SEL2对应12脚.CLK对应24脚;交通灯系统结构图如下所示:红黄绿红黄绿图2状态切换的状态图如下图:图2、模块设计及结果在VHDL设计中,采用自顶向下的设计思路。

顶层模块中,根据硬件设计,设置如下端口:外部时钟信号:Clk东西方向状态灯控制信号:R1,G1,Y1;南北方向状态灯控制信号:R2,G2,Y2;(1)分频模块:由于外部时钟信号clk的频率为1KHz,而实际需要的内部计时时钟频率为1Hz,需要一个分频电路。

输入端口:clk外部时钟信号输出端口:clk_out分频后信号源程序代码如下:数码管显示信号:A,B,C,D,E,F,G;数码管共阴极控制:SEL0,SEL1,SEL2;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Frequency1 isport(clk:in std_logic; --外部时钟信号clk_out:out std_logic --分频后信号);end Frequency1;architecture Frequency1_arc of Frequency1 isbeginprocess(clk)variable temp:integer range 0 to 999;beginif(clk'event and clk='1')thenif(temp=999)then --分频计数temp:=0;clk_out<='0';elsetemp:=temp+1;clk_out<='1';end if;end if;end process;end;图4(2)状态选择模块:由于共需要显示4个数字,需要循环点亮7位数码管,该模块通过输入的时钟信号,循环输出4个选择信号。

FPGA课程设计交通灯信号控制器的设计报告

FPGA课程设计交通灯信号控制器的设计报告

交通灯信号控制器的设计报告学院专业班级学号姓名交通灯信号控制器的设计1、实验目的(1)熟悉QuartusⅡ/ISE Design Suite/ispLEVER软件的基本使用方法。

(2)熟悉GW48-CK或其他EDA实验开发系统的基本使用方法。

(3)学习和掌握Verilog HDL过程区块语句、if条件语句、case 选择语句、for循环语句和元件实例化语句等的综合使用。

(4)学习计数器、分频器、选择器等Verilog HDL基本逻辑电路、动态扫描显示电路和状态机控制电路的综合设计应用。

2、实验要求设计并调试好一个十字交叉口的交通灯信号控制器,要求为:(1)设置两个开关SW1、SW2,其中固定开关实现交通警察人为监督交通秩序和无人自动控制交通秩序之间的切换,默认开关置于高电平端,为自动控制模式—交通灯按事先的规定工作,开关置于低电平时,为人为监督控制模式(交通灯不再工作)。

点动开关SW2用于整个系统的总复位,如系统出现故障,则需要总复位。

(2)当交通灯处于无人控制自动状态时,若方向灯1绿灯亮,则方向2红灯亮。

计数55s后,方向1的绿灯熄灭、黄灯亮,再计数5s后,方向1的红灯亮,黄灯灭,同时方向2的绿灯亮,然后方向2重复方向1的工作过程,这样就实现了无人自动控制交通灯。

有关控制的定时使用倒计时方式,计时过程用数码管显示。

3、总体设计思路3.1系统组成交通灯控制器拟由单片的CPLD/FPGA来实现,结合设计任务要求和确定的实现方案,按照自顶向下的层次化设计方法,整个系统可分为6个模块组成。

①主控制模块control:根据外部输入控制信号及来自内部计时模块的控制信号,控制两个方向道路信号灯的亮与灭。

②55s倒计时模块cnt55:实现55s绿灯点亮时间的倒计时。

③5s倒计时模块cnt05:实现5s黄灯点亮时间的倒计时。

④时钟信号分频模块fdiv:将给定的主频时钟信号经分频得到频率分别是1KHZ和1HZ.⑤显示数据多路选择模块datasel:根据来自control模块的控制信号进行倒计时模块cnt55和cnt05计时结果的显示数据选择。

基于FPGA交通灯控制器设计(论文)开题报告1

基于FPGA交通灯控制器设计(论文)开题报告1

重庆三峡学院毕业设计(论文)开题报告设计(论文)题目基于FPGA交通灯控制器设计院系应用技术学院专业电子信息工程年级2009级学生学号200915254139学生姓名吴思林指导教师赵威威重庆三峡学院教务处制综述本课题研究动态、选题目的及意义通过运用FPGA芯片做毕业设计,熟练掌握汇编语言编程方法,将理论联系到实际中去,提高我们的动手动脑的能力。

2.通过交通灯信号控制系统的设计,掌握定时、计数器及中断的使用方法,和简单程序的编写,最终提高我们的逻辑抽象能力。

今年来,随着汽车数量的猛增,我国中大型城市的城市交通,正面临着严峻的考验,从而导致交通问题的日益严重,其主要表现如下:交通事故的频发,对人类生命安全造成极大威胁;交通拥堵严重,导致出行时间增加,能源消耗加大;空气污染和噪声污染程度日益增加等。

日常的交通堵塞成为人们司空见惯而有不得不忍受的问题。

结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。

选题意义交通信号灯在人们的日常生活中,起着至关重要的作用。

但是随着国民经济的快速发展,城市化建设规模的不断扩大,人们对交通信号灯在协调交通、管理交通等方面的能力,提出了更高的要求。

尤其是在当今社会,城市交通拥堵,城市交通基础设施滞后,常见的交通信号灯不能很好的处理人、车、路三者之间的协调关系。

因此,交通控制系统研究的意义显得尤为重要。

随着通信技术、计算机技术、控制技术、信息技术等一系列高新技术的飞速发展,给交通系统的发展带来了新的曙光,也会更加方便人们的生活。

研究基本内容、拟解决的主要问题本设计课题用FPGA来实现交通灯的设计,本设计现要研究的问题主要有:交通灯的设计方案;各功能模块的设计与实现;如何用VHDL编写源程序以及进行系统仿真。

本课题为交通灯的设计及其FPGA实现,关于研究途径考虑在EDA技术的基础上,利用FPGA的相关知识设计交通灯控制系统,可以根据实际情况对灯亮时间进行自由调整,整个设计系统通过QUARTUSⅡ软件进行了模拟仿真,验证设计的交通信号灯控制电路完全可以实现预定的功能,具有一定的实用性。

基于FPGA的交通灯

基于FPGA的交通灯

《EDA技术使用教程》课程设计报告题目名称:基于FPGA的十字路口交通灯控制器设计学生姓名:学号:专业年级:指导教师:时间:2015年1月3日目录目录 (1)1 设计任务与要求 (2)2 方案设计与论证 (2)2.1系统设计思路 (2)2.2系统设计方案分析 (4)3 交通控制灯各模块电路设计 (5)3.1分位电路模块fenwei (5)3.2 控制模块 controller (6)3.3 时钟分频模块frequency10Hz和frequency (11)3.4 带闪烁功能的七段数码驱动显示模块display (14)4 交通控制灯顶层电路设计 (16)4.1 原理说明 (16)4.2 端口设计说明 (17)4.3 仿真与结果分析 (17)5 心得体会 (19)6 参考文献 (20)1设计任务与要求基于FPGA的十字路口交通灯控制器1)红、黄、绿灯分别用1bit控制;2)每一个状态分配一个时间显示(两位十进制数,倒计时);3)符合实际交通规律。

2方案设计与论证2.1系统设计思路(1)本系统设计中均采用混合设计的方法,将整体方案划分成若干个模块进行设计。

采用VHDL硬件描述语言和原理图描述相结合的方式,对多种应用电路进行设计,其中底层电路(即模块电路)采用VHDL硬件描述语言方式实现,顶层电路采用原理图描述方式实现。

(2)在十字路口的两个方向上各设一组红、绿、黄灯,显示顺序为其中一个方向是(东西方向)是绿灯、黄灯、红灯;另一方向(南北方向)是红灯、绿灯、黄灯。

设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间。

其中绿灯、黄灯、红灯的持续时间分别是20s、5s和25s。

当各条路上任意一条上出现特殊情况时,如当消防车、救护车或其他需要优先放行的车辆通过时,各方向上均是红灯,倒计时停止,且显示数字在闪烁。

当特殊运行状态结束时,控制器恢复原来状态,继续正常运行。

(3)系统设计流程提出系统设计要求需求分析模块化方案设计底层电路设计----VHDL模块电路设计顶层电路设计----原理图描述+各模块连接FPGA整体方案设计实现FPGA整体方案编译仿真功能仿真时序仿真硬件搭接和运行FPGA整体方案设计完成图1 系统设计流程2.2系统设计方案分析根据任务要求,计数器的值和交通灯亮灭关系如图2所示。

FPGA交通灯设计实训报告

FPGA交通灯设计实训报告

FPGA实训报告实训设计题目基于FPGA的交通灯控制器设计作者 xxxxxxx 分院 xxxxxxxxxxxxxxxxxxx专业班级xxxxxxxxx指导教师(职称) xxxxxxxxxxxxxx 报告完成时间 2012年10月8日基于FPGA的交通灯控制器设计摘要:超高速硬件描述语言VHDL,是对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,利用EDA工具可以在电子设计的各个阶段、各个层系进行计算机模拟验证,保证设计过程的正确性,可大大降低设计成本,缩短设计周期。

本文介绍的数字秒表设计,利用基于VHDL的EDA设计工具,采用大规模可编程逻辑器件FPGA,通过设计芯片来实现系统功能。

交通灯控制系统可以实现路口红绿灯的自动控制。

基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、实时快速擦写、运算速度高、故障率低、可靠性高,而且体积小的特点。

本设计采用Altera公司Cyclone系列的EPlC3T1444C8芯片,在Quartus II 软件平台上使用VHDL语言,采用自顶向下的设计方法对系统进行了模块化设计和综合,并进行了仿真。

该系统可实现十字路口红绿灯及左转弯控制和倒计时显示,仿真结果结果表明系统能够自动控制交通灯转变。

关键词:EDA;交通灯;VHDL目录引言 (1)1 FPGA概述 (2)1.1 FPGA的简介 (2)1.2 FPGA的应用 (2)2 VHDL硬件描述语言 (3)2.1 VHDL程序基本结构 (3)2.1.1 实体 (3)2.1.2 结构体 (3)2.1.3 库 (4)2.2 VHDL语言 (4)2.2.1 VHDL文字规则 (4)2.2.2 VHDL数据对象 (4)2.2.3 VHDL数据类型 (4)2.2.4 VHDL顺序语句 (5)2.2.5 VHDL并行语句 (5)3系统设计与仿真 (6)3.1 系统介绍 (6)3.1.1 设计任务 (6)3.1.2 设计要求 (6)3.2 系统设计仿真 (6)3.2.1 系统框图设计 (7)3.2.2 系统时序状态图设计 (7)3.2.3 系统工程设计流程图 (8)3.2.4 芯片选择 (8)3.3 功能模块设计与仿真 (8)3.3.1 分频器模块设计 (8)3.3.2 控制模块设计 (9)3.3.3 倒计时模块设计 (10)3.3.4 信号处理模块设计 (11)3.3.5 数据译码模块设计 (12)3.3.6 显示模块设计 (14)3.4 顶层文件设计 (17)结论 (19)参考文献: (19)基于FPGA的交通灯控制器设计xxxxx专业xxxx班xxxx 指导教师xxxx引言当今社会是数字化的社会,是数字集成电路广泛应用的社会。

基于FPGA的交通灯设计(课程设计)

基于FPGA的交通灯设计(课程设计)

FPGA综合设计实验报告题目基于FPGA的交通灯控制器的设计作者专业日期 2013年3月29日1.设计任务:基于FPGA的交通灯控制器的设计2.设计要求:(1)十字路口由一条东西方向的主干道和一条南北方向的支干道构成,主干道和支干道均有红、黄、绿3种信号灯;(2)保持主、支干道红、绿交替变换;(3)绿灯转红灯过程中,先由绿灯转为黄灯,5秒后再由黄灯转为红灯;同时对方由红灯转为绿灯;(4)系统需具有复位及特殊情况紧急处理功能。

(5)了解交通灯控制器的工作原理,完成控制器的硬件电路设计及软件设计。

3.总体设计方案:从题目中计数值与交通灯的亮灭的关系如图(1)所示。

当主干道绿灯55秒和5秒黄灯过渡时,支干道必须禁止通行,即支干道红灯亮55+5=60秒;当支干道由红灯转为绿灯时,支干道亮55秒绿灯和5秒黄灯过渡,此时主干道红灯应亮55+5=60秒。

图1 交通灯控制要求4.硬件电路基本原理分析:动态LED显示的设计方法是将不同LED模块的所有的LED的驱动端一对一地连接到一起,而将其公共极(阴极或阳极)分别由不同的IO口来驱动(主要针对7段码和LED点阵模块)。

动态显示方式主要是出于简化电路和产品成本考虑在大多数场合都可以达到用户要求。

动态显示虽然占用的CPU时间多,但使用的硬件少,能节省线路板空间。

另外,本设计显示需要使用的是4个七段显示数码管。

在计时结果显示电路中,七段数码管显示部分是一个不容忽视的环节,如若处理不得当,可能引起系统功率过大,产生散热问题,严重时甚至会导致系统的烧毁。

为了解决好以上问题,下面就对七段数码管显示电路做简要的分析和介绍。

通常点亮一个LED所需的电流是5~50 mA,通电的电流愈大,LED的亮度愈高,相对的也会使其寿命缩短。

一般以10 mA的导通电流来估算它所必须串联的阻值,其计算方式参考如图1所示。

图1 单个LED的串接电阻计算方式七段显示器可分为共阳极、共阴极型两种,它们都可以等效成8个LED的连接电路,其中如图2就是共阴极型七段显示器的等效电路和每节LED的定义位置图。

基于FPGA的交通灯设计报告

基于FPGA的交通灯设计报告

合肥学院综合课程设计报告题目:基于FPGA的交通灯设计专业:电子信息工程班级:09电子(2)班姓名:周峰导师:成绩:2012年12月11日基于FPGA的交通灯设计一:题目要求1:主干道绿灯时,支干道红灯亮,反之亦然,两者交替允许通行。

主干道每次放行40秒,支干道每次放行30秒。

每次路灯亮,前10秒为左转灯亮,后5秒为黄灯亮。

余下为直行灯亮、2:能实现正常的倒计时显示功能。

3:能实现总体清零功能;计数器由初始状态开始计数,对应状态的指示灯亮。

二:题目分析1:在十字路口东西方向和南北方向各设一组左转灯、;显示的顺序为:左转灯绿灯黄灯红灯。

2:设计一个倒计时显示器。

倒计时只显示总体时间。

主干道左转灯、红灯、绿灯和黄灯亮的时间分别是10秒、30秒、25秒、5秒。

支干道左转灯、红灯、绿灯和黄灯亮的时间分别是10秒、40秒、15秒、5秒状态表如表3-1所示:3三:选择方案1:方案一在VHDL设计描述中,采用自顶向下的设计思路,该思路在自顶向下的VHDL 设计描述中,通常把整个设计的系统划分为几个模块,然后采用结构描述方式对整个系统进行描述。

根据实验设计的结构功能,来确定使用哪些模块以及这些模块之间的关系。

通过上面的分析,不难得知可以把交通灯控制系统划分为3个模块:时钟模块、控制模块、分频模块。

2:方案二不采用方案一的分模块设计,直接用进程写程序。

该程序由7个进程组成,进程P1将CLK信号分频后产生1秒信号,P2形成0-49的计数器,进程P3、P4用来控制的信号灯亮灭的,其中P5、P6产生数码管显示的倒数的十进制形式。

进程P7实现状态转换和产生状态转换的控制信号,进而控制数码管显示。

由于方案一中使用进程会使程序变得很复杂,不易理解,所以我采用了方案二。

四:方案二ASM图设计开关控制部分ASM图ASM图说明:i、j、k、分别代表开关状态;1表示开关闭合,为高电平;0表示开关断开为低电平。

当开关处于不同的状态时,分别给变量G不同的值,用来实现控制通行时间。

基于FPGA的数字交通灯控制器设计开题报告定版

基于FPGA的数字交通灯控制器设计开题报告定版
五.指导教师意见
该毕业设计研究了基于FPGA的城市交通灯控制系统。论文选题有一定的现实意义,在吸收国内外同行业研究成果的基础上,进一步提出了本文的研究内容以及实现方案。该报告内容合理、条理清晰,技术方案切实可行,同意在此基础上进行毕业设计。
指导教师签名:
2015年3月12日
[5]杨杨.基于Verilog HDL语言的复杂交通指标灯设计与实现.南京:南京师范大学,2011.
[6]杨贵.基于FPGA的交通灯控制器实现.湖南:湖南大学电气与信息工程学院,2003.
[7]袁海林.基于FPGA的交通灯的设计与实现.四川:成都理工大学 ,2013.
[9]杨捷.基于VHDL语言的交通灯控制器设计.河南:河南机电高等专科学校学报,2008.
在运用EDA技术设计数字系统时,通常采用VHDL硬件电路描述语言,实现系统的设计后,在集成开发环境中进行综合、仿真并下载到FPGA中,完成控制系统的功能设计 。VHDL是一种比较规范的硬件描述语言,它的设计描述可以被不同的工具所支持,同时也能用不同的器件来实现。利用VHDL语言自顶向下的设计方法来设计交通灯控制系统,能够较好地发挥出该语言的可读性和易于实现功能的特点,除了能实现良好的控制功能外,而且稳定、可靠、易于理解 。因此,在设计交通灯控制系统时,可以用VHDL语言在开发平台上进行完成。
定时计数器模块采用倒数计时的方式,由控制器模块确定计时的起始、终止时间和倒计时持续时间,对交通信号的持续状态进行计数 。在常用的时序逻辑器件中,有很多功能比较实用的集成计数器,如:异步集成计数器74LS90,同步集成计数器74161,二进制可逆集成计数器74LS169等等,利用两片十进制同步加/减计数器74190和一些简单的逻辑器件也可以实现定时计数器的功能,但是集成器件FPGA本身就可以定义出这些逻辑器件,为了不使设计的系统复杂,不用再另外使用这些器件 。对于译码器和显示器模块来说,主要功能是将控制器输出的四种正常的工作状态,用动态扫描方式,轮流驱动四个数码管,将两个方向路口的红绿灯信号显示出来,并将倒计时时间也显示出来。用2线-4线译码器74LS139能将从计数器模块过来的信号,转换成能控制数码管发光的驱动信号 ,这样分别将这些模块用VHDL语言编写出来,再下载到FPGA器件上,就能完成交通灯控制器的系统设计。

FPGA实验报告-交通灯控制器设计

FPGA实验报告-交通灯控制器设计

FPGA实验报告--交通灯控制器设计院系:电子与信息工程系专业:通信工程班级:姓名:学号:指导教师:一、实验任务 1、任务名称:交通灯控制器的设计2、设计容与要求:① 设计一个十字路口交通信号灯的定时控制电路。

要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。

② 绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。

要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。

每次变换运行车道前绿灯闪烁,持续时间为5秒。

即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。

同理,当车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。

③ 对红、绿灯的运行时间要能比较方便的进行重新设置。

④ 对器件进行在系统编程和实验验证。

⑤ 用VHDL 语言对设计进行描述,设计一个测试方案,通过ISE 对设计进行仿真验证。

并能够下载到实验板上调试成功。

6 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。

2、补充功能与要求:1.在主干道和支干道添加左转向灯;2.各灯亮的时间及最后闪烁时间可调节;3.紧急路况时,主干道和支干道都为红灯。

二、实验环境1、ISE 软件一套;2、PC 机一台。

三、设计思路1、根据题目要求,知道整个交通灯的运行过程是周期的,所以可以设计一个总的计数器,满周期则清零;2、将灯闪烁时间、主干道绿灯亮的时间、主干道转向灯亮的时间、支干道绿灯亮的时间、支干道转向灯亮的时间分别记为变量t0、t1、t2、t3、t4,通过调整它们,实现调节各灯亮的时间;3、将所有需要显示的量由同一个信号表示并最终输出、显示在LCD上。

四、系统设计a)系统框图b)状态转换说明:主干道和支干道永远有且只有一个灯亮,紧急路况时两边红灯亮,其余时候有且只有一个红灯亮;主干道绿灯、主干道转向灯亮、支干道绿灯、支干道转向灯依次亮,在最后t0S(默认为5S)闪烁。

基于FPGA的交通灯控制器设计_毕业设计论文

基于FPGA的交通灯控制器设计_毕业设计论文

基于FPGA的交通灯控制器设计_毕业设计论文摘要:随着城市交通拥堵问题的日益严重,交通灯控制器作为城市交通管理的重要组成部分,起着至关重要的作用。

在传统的交通灯控制系统中,使用的是基于微控制器或PLC的硬件实现方式,无法满足日益复杂的交通需求。

本论文提出了一种基于FPGA的交通灯控制器设计方案,通过利用FPGA的高度可编程性和并行处理能力,实现了对交通灯状态的实时监控和控制。

设计方案通过数码管和按钮进行交互,利用图形化编程软件进行开发和调试。

实验结果表明,所设计的FPGA交通灯控制器具有优异的性能和稳定性,能够满足各种交通场景下的需求。

关键词:交通灯控制器;FPGA;并行处理;图形化编程一、引言随着城市交通流量的不断增加,传统的交通灯控制系统已经不能满足日益复杂的交通需求。

传统的交通灯控制器使用的是基于微控制器或PLC的硬件实现方式,无法提供足够的计算性能和并行处理能力。

因此,本论文提出了一种基于FPGA的交通灯控制器设计方案,通过利用FPGA的高度可编程性和并行处理能力,实现对交通灯状态的实时监控和控制。

二、设计方案本设计方案采用了FPGA作为控制器的核心,通过图形化编程软件进行开发和调试。

设计方案将交通灯控制分为四个主要模块:状态监控模块、状态控制模块、显示模块和按钮模块。

状态监控模块通过检测车辆和行人的状态,实时监控交通灯的状态。

状态控制模块根据交通流量和优先级进行状态切换和调度。

显示模块将交通灯状态显示在数码管上,方便行人和司机观察。

按钮模块通过按钮输入交通灯的初始状态,实现手动控制。

三、系统实现本系统采用Xilinx FPGA开发板进行实现,使用Verilog HDL进行程序编写。

在设计过程中,通过数码管和按钮进行交互,实现手动控制和状态显示。

图形化编程软件使得开发和调试更加便捷,节省了开发周期和人力资源。

四、实验结果通过对实验数据的分析和对比,我们发现所设计的FPGA交通灯控制器在交通流量大、复杂交叉路口和斑马线等特殊情况下,都能够稳定运行并保证交通流畅度。

基于FPGA的交通灯控制系统设计 【开题报告】

基于FPGA的交通灯控制系统设计 【开题报告】

开题报告电气工程及其自动化基于FPGA的交通灯控制系统设计一、综述本课题国内外研究动态,说明选题的依据和意义1. 选题的背景和意义在当今这个经济高速发展的社会,人民的物质生活水平不断提高,随着城市化的推进,私家车的数量逐渐增加,全社会对道路交通的需求也越来越大,城市的交通问题越来越引起人们的关注,而交通信号控制灯是道路交通的重要组成部分。

而目前,城市中十字路口的交通灯控制系统大都采用定时控制方式。

这样的交通控制系统经常出现单方向的交通堵塞严重的问题,造成一方向车挤另一方向车松的不合理的局面。

因此,我们有必要寻求一种具有智能的交通控制系统。

这种智能交通控制系统能够依据道路车流量的不同情况改变控制方式或自动调节红绿灯的时间长度,减少十字路口的车辆滞留现象,增减交通安全,缓解交通拥挤,提高交通效益,实现十字路口交通最优控制,从而提高交通控制系统的效率,以达到减少环境污染,降低能源消耗。

就目前的现状来说,解决交通拥堵问题不仅联系着环境问题和资源问题,关系着国家的社会生产环境,而就现在的研究成果来看,虽然现在有很多研究产品问世,但是很多的研究成果还是由于当时技术的局限,还有就造价成本和可编程性来看,Verilog HDL设计就有一定得优越性,使用Verilog HDL设计的数字电路系统主要有两种实现方法:ASIC和FPGA。

而使用FPGA器件,后仿真会容易一些,只要使用FPGA厂商提供的EDA工具就可以方便的进行。

因此,对于研究基于FPGA控制的智慧交通灯的控制,其研究成果不仅能够缓解由于交通拥堵带来的环境污染问题和燃油浪费问题,也节省了人们的出行时间,缓解了城市的交通。

2. 国内外的研究动态对于智能交通灯控制系统的研究也有着久远的历史,早在上世纪60年代末期,美国就开始了关于智能交通系统技术的研究,之后,欧洲、日本也相继加入了这一行列。

经过40多年的发展,美国、欧洲、日本成为世界ITS研究的三大基地。

目前,另外一些国家、地区也有相当规模,可以说,全球目前的ITS产业发展的速度惊人,以“保障安全、提高效率、改善环境、节约能源”为目标的ITS概念正逐步在全球形成。

基于FPGA的交通灯控制课程设计报告

基于FPGA的交通灯控制课程设计报告

基于FPGA的交通灯控制课程设计报告课程设计报告设计题目:基于FPGA的交通灯控制专业班级学号学生姓名指导教师设计时间教师评分2012年12月14日目录1、概述 (1)1.实验目的 (1)1.2课程设计的组成部分 (1)2、交通灯设计的内容 (2)3、总结 (5)3.1课程设计进行过程及步骤 (5)3.2体会收获及建议 (10)4、教师评语 (10)5、成绩 (11)1、概述1.实验目的(1)熟悉利用QuartursⅡ开发数字电路的基本流程和QuartursⅡ软件的相关操作。

(2)掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,利用JTAG/AS进行下载等基本操作。

(3)了解VerilogHDL语言设计或原理图设计方法。

(4)通过本知识点的学习,了解交通灯的工作原理,掌握其逻辑功能及设计方法。

1.2课程设计的组成部分(1)系统功能:实现十字路口的交通灯显示。

(2)系统要求:a. 要求控制南北、东西方向各3个灯(红、黄、绿)的亮灭;b. 用LED0-LED5六个灯来代表红绿灯,其中LED0-LED2表示南北方向的红,黄,绿灯,LED3-LED5表示东西方向的红,黄,绿灯。

c. 要求南北方向红灯亮5秒,同时东西方向绿灯亮3秒,绿灯结束后,东西方向黄灯亮2秒。

转东西红灯亮5秒,同时南北绿灯亮3秒,绿灯结束后,南北黄灯亮2秒,一直循环。

(3)引脚分配:2、交通灯设计的内容主程序module jtd(clk,led);input clk;output[7:0]led;reg[7:0]led;reg[4:0]state;always @ (posedge clk)begin state = state + 5'b00001;case(state)5'b00000:led<=8'b00001001;5'b00001:led<=8'b00100001; //南北红灯亮5秒,东西绿灯亮3秒,在转东西黄灯2秒5'b00010:led<=8'b00000000;5'b00011:led<=8'b00100001;5'b00100:led<=8'b00000000;5'b00101:led<=8'b00100001;5'b00110:led<=8'b00000000;5'b00111:led<=8'b00010001;5'b01000:led<=8'b00000000;5'b01001:led<=8'b00010001;5'b01010:led<=8'b00000000;5'b01011:led<=8'b00001100; //东西红灯亮5秒,南北绿灯亮3秒,在转南北黄灯2秒5'b01100:led<=8'b00000000;5'b01101:led<=8'b00001100;5'b01110:led<=8'b00000000;5'b01111:led<=8'b00001100;5'b10000:led<=8'b00000000;5'b10001:led<=8'b00001010;5'b10010:led<=8'b00000000;5'b10011:led<=8'b00001010;5'b10100:led<=8'b00000000;default:state=5'b00000;endcaseendendmodule分频器部分,获得便于试验观察的时钟信号module fpq(clk_out,clk_in);input clk_in;output clk_out;reg clk_out;reg[25:0] counter; //50_000_000=1011_1110_1011_1100_0010_0000_00 parameter cnt=25_000_00; // 50MHz is the sys clk,50_000_000=2FAF080always @(posedge clk_in)begincounter<=counter+1;if(counter==cnt/2-1)beginclk_out<=!clk_out;counter<=0;endendendmodule3、总结3.1课程设计进行过程及步骤a. 用Quartus II 8.0 (32-Bit)软件建立工程:b.在工程建立好后,再建立verilog HDL filec.建好verlog HDL file 后,在里面写入两个程序(一个主程序,一个子程序),将写好的程序保存,并编译,确定没有错误后,输入引脚分配。

fpga交通信号灯课程设计报告

fpga交通信号灯课程设计报告

fpga交通信号灯课程设计报告FPGA交通信号灯课程设计报告一、引言交通信号灯是城市交通管理中的重要组成部分,它能够指示车辆和行人何时可以通行,何时应该停止。

在传统的交通信号灯系统中,信号灯的时序控制是通过固定的电路实现的。

然而,传统的电路设计存在一些缺点,例如难以实现动态调整、增加新功能困难等。

因此,本课程设计旨在利用FPGA技术,设计一个可编程的交通信号灯控制系统,以解决传统交通信号灯系统的一些问题。

二、设计目标本课程设计的目标是设计一个基于FPGA的交通信号灯控制系统,具有以下特点:1. 灵活性:能够根据交通流量和道路情况动态调整信号灯的时序;2. 可编程性:能够根据需要增加新功能,如车辆检测、优化信号灯配时等;3. 高效性:能够实现快速响应和准确控制,以提高交通流畅度和安全性。

三、设计方案1. 硬件设计方案本课程设计将使用FPGA作为核心控制器,通过编程实现交通信号灯的控制逻辑。

FPGA具有可编程性强、并行计算能力高等优点,非常适合用于交通信号灯控制系统的设计。

另外,还将使用LED等外部设备来显示交通信号灯的状态。

2. 软件设计方案本课程设计将使用Verilog HDL编程语言进行软件设计。

Verilog HDL是一种常用的硬件描述语言,具有语法简洁、易于理解和设计的优点,非常适合用于FPGA的设计。

在软件设计中,将根据交通信号灯的控制逻辑,编写相应的Verilog代码。

3. 功能设计方案本课程设计中,交通信号灯的控制逻辑包括以下功能:- 时序控制:根据交通流量和道路情况,动态调整信号灯的时序,以提高交通流畅度;- 车辆检测:通过传感器或摄像头等设备,实时检测车辆的存在和数量,以实现智能的信号灯控制;- 优化配时:根据交通状况和路口拓扑,优化信号灯的配时,以减少交通拥堵和延误。

四、实施步骤1. 硬件实施根据设计方案,选择合适的FPGA开发板和外部设备,搭建交通信号灯控制系统的硬件平台。

2. 软件实施使用Verilog HDL编程语言,根据设计方案,编写交通信号灯控制系统的软件代码。

基于FPGA的交通灯课程设计报告

基于FPGA的交通灯课程设计报告

摘要本实验为自主选题设计实验,实验选择具有倒计时显示功能的红黄绿三色交通设计,实验中采用VHDL 作为设计功能描述语言,选用Altera公司的EP1K30144-PIN TQFP最为主控芯片,实验报告中简要介绍了FPGA器件,并给出了设计原理图,详细的介绍了交通灯的设计流程,实验报告中还附有实验代码实验结果照片图。

AbstractThis experiment designed for independent choice experiment, experiment choice which has the function of the countdown display red yellow green traffic design, description language (VHDL as design function is applied in the experiments, the most main control chip select MAX II EPM240T100C5 Altera company, experiment report, this paper briefly introduces the MAX II device series, and gives the design diagram, detailed introduces the traffic lights of the design process, the experiment report with the code results photo graph.目录一、概述 (1)1.1课程设计背景 (1)1.2课程设计题目 (1)1.3课题功能补充 (1)二、系统设计与论证 (2)2.1系统设计思路 (2)2.2系统框架设计 (3)2.3输入输出设计 (4)2.4模块设计过程 (4)2.4.1状态转换模块 (4)2.4.2数码管显示模块 (5)2.5模块描述 (5)2.5.1主控制模块 (5)2.5.2 45s时间倒计时模块 (6)2.5.3 25s时间倒计时模块 (6)2.5.4 5s时间倒计时模块 (7)2.5.5 数据选择模块 (7)2.6整体电路图 (8)三、系统仿真 (9)四、心得体会 (9)五、附录 (10)5.1主控制模块源程序 (10)5.2 45s模块源程序 (12)5.3 25s模块源程序 (13)5.4 5s模块源程序 (14)5.5 数据选择模块源程序 (16)六、参考文献 (16)七、老师评语及成绩 (17)一、概述1.1课程设计背景经过两个学期的电子线路设计测试实验,我们从基础的模电、数电典型电路的设计和实现做起,从PSpice和MAXⅡ的设计与仿真,到面包板搭建电路的实践与测试,在不断的学习和积累中,了解了电子技术设计的基本过程和基础知识。

基于FPGA的交通灯控制系统设计【开题报告】

基于FPGA的交通灯控制系统设计【开题报告】

开题报告电气工程及其自动化基于FPGA的交通灯控制系统设计一、课题研究意义及现状随着社会经济的发展,城市交通问题越来越引起人们的关注。

当今社会,人们平均生活水平提高,私家车也越来越多。

人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。

城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。

实现路口交通灯系统的控制方法很多,继电器、可编程序控制器PLC、单片机等方案来实现,继电器价格便宜,但安装复杂,事故较多。

PLC接线方便,容易检修,但价格昂贵。

单片机的编程麻烦,可读性差,实时控制不太占优势,但成本低,控制方式比较灵活。

但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难FPGA普遍被认为是建构原型和开发设计的最快途径。

FPGA硅芯片由于能够进行编程、除错、再编程和重复操作,还可透过其现场编程能力延长产品在市场上的寿命。

FPGA入门套件是由FPGA供货商提供的完整设计解决方案,能让设计人员提高开发效率,实现最快的上市时间。

FPGA既缩短了研发周期,又大大节约了成本。

因此,基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、运算速度高的特点。

系统通过功能扩展、接口扩展可同时控制多个路口的红绿灯变换,并实现具有根据需要实时快速擦写应用程序的功能。

本次毕业设计是设计一个基于FPGA的交通灯控制系统。

要求交通灯控制系统实现自动控制和手动控制其红绿灯的变化, 并结合人行道通行情况进行相关设置,除了指示灯提示,更增加了提示音。

二、课题研究的主要内容和预期目标1.主要内容结合实时现场设计一个交通等控制系统,其中主控部分应用FPGA芯片来实现。

系统总体指标及功能要求:分别显示道路东西和南北通行和禁止的倒计时时间;设置道路东西和南北两侧通行和禁止的倒计时时间,最大设置时间为99秒,最小设置时间为1秒;交通灯用红、绿、黄三种发光二极管(LED)显示控制的结果;红、绿、黄灯显示的次序应符合实际交通道路控制的要求;结合人行道通行情况进行相关设置(指示灯,声音等);其他相关设置,需综合考虑各个环节设计,体现优化。

fpga交通信号灯课程设计报告

fpga交通信号灯课程设计报告

fpga交通信号灯课程设计报告FPGA交通信号灯课程设计报告一、引言交通信号灯是城市交通管理系统中重要的组成部分,它能够有效地引导车辆和行人的交通流动,提高交通效率和安全性。

为了更好地理解和应用FPGA技术,我们选择了交通信号灯作为课程设计的主题。

本文将详细介绍FPGA交通信号灯的设计思路和实现过程。

二、设计目标本次课程设计的主要目标是利用FPGA实现交通信号灯的控制系统,通过灯光的闪亮和转换来模拟不同道路的交通流向。

具体设计要求如下:1. 使用FPGA实现交通信号灯的控制逻辑;2. 能够模拟不同道路的交通流向,并能够根据实际情况进行灯光的闪亮和转换;3. 能够通过按钮进行手动控制或者自动控制。

三、设计思路本次课程设计的核心是设计交通信号灯的控制逻辑。

首先,我们需要确定交通信号灯的灯光布局和控制方式。

一般来说,交通信号灯包括红灯、黄灯和绿灯,分别代表停止、警告和通行。

根据交通流向的不同,我们需要设计不同的灯光组合和切换方式。

在FPGA设计中,我们可以使用状态机的方式来实现交通信号灯的控制逻辑。

通过定义不同的状态和转换条件,我们可以控制交通信号灯的灯光切换。

同时,我们还可以通过外部按钮的输入来实现手动控制功能,或者通过计时器的方式实现自动控制功能。

四、设计实现1. 硬件设计在硬件设计方面,我们需要使用FPGA开发板搭建交通信号灯的控制系统。

首先,我们需要将FPGA开发板与红、黄、绿三色LED灯进行连接,以实现灯光的控制。

同时,我们还需要将FPGA开发板与外部按钮进行连接,以实现手动控制功能。

2. 软件设计在软件设计方面,我们需要使用Verilog HDL来描述交通信号灯的控制逻辑。

首先,我们需要定义不同的状态,例如红灯亮、黄灯亮和绿灯亮等。

然后,根据不同的状态和转换条件,我们可以使用if-else语句或case语句来实现灯光的切换。

最后,我们需要根据外部按钮的输入来实现手动控制功能,或者使用计时器模块来实现自动控制功能。

基于FPGA交通灯控制器设计

基于FPGA交通灯控制器设计

基于FPGA交通灯控制器设计引言:近年来,城市交通的快速发展给城市交通管理带来了巨大的挑战。

交通灯系统是一项重要的交通管理设施,能够保证出行的安全和有序。

传统的交通灯控制器通常基于微控制器或PLC,但是随着FPGA(Field Programmable Gate Array)技术的发展,越来越多的交通灯控制器开始采用FPGA来实现,以提供更高的实时性和可编程性。

设计目标:本文旨在设计一个基于FPGA的交通灯控制器,以实现交通灯的准确、稳定和快速的切换,以提高交通流量的效率和道路的安全性。

FPGA交通灯控制器的设计:FPGA交通灯控制器的设计主要包括以下几个步骤:1.系统功能设计:首先,需要确定系统的功能需求,即要实现的交通灯控制策略。

例如,可以采用定时控制、感应控制或组合控制等方式来决定交通灯的切换规则。

2.硬件设计:根据系统功能需求,设计FPGA的硬件电路,包括FPGA芯片的选择、外围电路的设计和电源等。

3. 程序设计:编写FPGA的逻辑代码,实现交通灯控制的算法和逻辑。

可以使用硬件描述语言(HDL)如Verilog或VHDL来实现。

4.仿真验证:使用FPGA开发工具进行仿真验证,确保交通灯控制器的功能和性能达到设计要求。

5.硬件调试和优化:根据仿真结果,对硬件电路进行调试,并优化电路设计,以提高交通灯控制器的性能和稳定性。

6.硬件实现:将设计好的交通灯控制器加载到FPGA芯片中,并与其他外围设备(如传感器、通信模块等)进行连接。

7.系统测试和验收:对交通灯控制器进行系统测试,验证其在实际环境中的功能和性能,并根据实际需求进行调整和优化。

设计考虑:在设计FPGA交通灯控制器时1.实时性:交通灯控制需要具备高实时性,能够及时响应交通信号的变化,并快速切换信号灯。

2.稳定性:交通灯控制器需要具备稳定性,能够保持信号灯的状态稳定和可靠。

3.可编程性:FPGA交通灯控制器应具有良好的可编程性,能够根据需求进行灵活配置和调整。

基于FPGA的智能交通灯的设计与开发

基于FPGA的智能交通灯的设计与开发

基于FPGA的智能交通灯的设计与开发近年来,随着城市化进程的不断加快,交通拥堵问题日益突出。

智能交通灯作为城市交通管理的重要组成部分,其控制策略的优化与改进显得尤为重要。

本文将基于FPGA技术,对智能交通灯进行设计与开发,以实现交通流的优化与提高路口的通行效率。

首先,我们需要设计一个基于FPGA的智能交通灯控制系统。

该系统的核心是交通灯控制器,通过使用FPGA来实现交通灯的逻辑控制规则。

交通灯控制器可以根据交通流量和道路情况,调整交通灯的信号灯状态,以实现交通流的最大化。

同时,系统还需要与传感器和摄像头等设备进行联动,实时获取交通流量和车辆情况,以便更好地调整交通灯的控制策略。

其次,我们需要设计一个高性能的交通流量检测模块。

该模块可以使用FPGA实现图像处理算法,对交通流量进行实时检测和统计。

通过分析图像数据,可以精确计算出每个方向上的车辆数量和车辆类型,从而更好地进行交通灯的控制和调度。

此外,我们还可以加入智能算法和优化算法来进一步提高交通灯的控制效果。

例如,可以使用智能算法来预测未来一些时刻的交通流量,从而根据预测结果来调整交通灯的控制策略。

同时,还可以使用优化算法来寻找最佳的交通灯控制策略,以进一步提高路口的通行效率和缓解交通拥堵问题。

最后,我们需要进行实验和测试,验证设计与开发的有效性和可行性。

通过使用FPGA作为硬件平台,可以快速实现智能交通灯控制系统,并对系统进行实时监测和调试。

如果实验结果表明系统具有较好的交通流调整效果和通行效率,则可以进一步优化和完善系统。

综上所述,基于FPGA的智能交通灯的设计与开发是一项颇具挑战性的工作。

通过充分发挥FPGA的可编程性和并行计算能力,可以实现智能交通灯的高效控制和优化,从而提高城市交通管理的水平和效率。

同时,设计与开发的成功将为解决城市交通拥堵问题提供一种新的思路和方法。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
控制器模块是交通灯信号工作的核心,控制器模块程序描述的是整个功能要实现的部分,包括正常状态和紧急状态的转换。通过控制器模块可以依据交通规则或者实际情况,相应地改变交通灯的工作状态,特别的时当实际中交通出现突发事件或特殊情况时,控制器可以及时控制交通信号的改变 。同时,控制器根据定时计数器的计数情况,对交通信号的亮灭和持续时间进行控制,并控制显示模块将信号倒计时数值显示在数码管上,它还可以手动调节各信号灯的倒计时持续时间,根据实际车流量改变路口放行和禁止通行的时间 。主控制器要实现四个正常状态的循环,可以用两片集成计数器74LS192来完成。但是,用时序逻辑器件设计电路,会用到较多芯片和导线,使整个系统的设计变得极其复杂。所以采用VHDL语言来编写程序,利用集成器件FPGA更能简单高效地完成系统功能 。
三. 进度安排
1.查阅文献,完成系统总体框架 2015.3.3—3.10
2.编写程序并下载到FPGA中 2015.3.11—3.25
3. 完成程序的仿真和调试2015.3.26—4.1
4. 撰写毕业论文 2015.4.1—4.20
四.参考文献
[1]刘小艳.我国城市交通现状及对策分析.北京:中国学术期刊电子杂志出版社,2012.
[5]杨杨.基于Verilog HDL语言的复杂交通指标灯设计与实现.南京:南京师范大学,2011.
[6]杨贵.基于FPGA的交通灯控制器实现.湖南:湖南大学电气与信息工程学院,2003.
[7]袁海林.基于FPGA的交通灯的设计与实现.四川:成都理工大学 ,2013.
[9]杨捷.基于VHDL语言的交通灯控制器设计.河南:河南机电高等专科学校学报,2008.
武汉理工大学本科生毕Fra bibliotek设计(论文)开题报告
一.目的及意义(含国内外的研究现状分析)
在世界经济飞速发展的今天,中国的经济、科技、文化各方面的发展也在快速进行着。而伴随着中国城市化进程的加快,由此也会带来很多问题:城市人口和车辆不断增加,我国的城市交通面临着越来越严重的问题,其中表现比较明显的就是城市交通拥堵和交通安全 。而城市的交通秩序主要靠路口的红绿灯来控制,红绿灯的技术源于19世纪,它的存在对于疏导车流量、提高道路使用效率有极大的提高 。
二.研究的基本内容、目标、拟采用的技术方案及措施
1. 基本内容
在由一条主通道和支通道组成的十字路口,入口处由红,绿,黄三色信号灯组成的交通灯控制车辆运行,设计出一个能控制路口红绿灯的交通灯。
2.目标
要求基于FPGA设计出一个交通灯控制器,并能实现以下控制功能:红灯亮时,禁止该通道车辆通行;绿灯亮时,允许该通道车辆通行;黄灯亮时,提示该通道车辆停在禁行线外。同时,要求设计交通灯控制系统的主控电路、定时电路、译码驱动电路等功能模块,给出逻辑赋值状态表并简化状态方程。设计交通灯控制器主控电路,并实现其VHDL语言实现。
在城市的交通灯控制系统设计中,国内大多数研究成果都采用转换时间间隔的方法来控制路口的交通情况 ,但是在不同时刻,路口的车流量不同,采用这个方法容易造成城市道路资源的浪费,不仅没有使城市道路得到合理的使用,而且可能会造成城市交通的拥挤及其他交通问题。为了更好地解决此类问题,将采用上述EDA技术,基于FPGA和VHDL语言对交通灯控制器进行设计 。
目前,基于大规模可编程器件(FPGA/CPLD)的EDA技术在不断发展,相关应用领域也在不断扩大。在数字系统的设计中,相比其他中小规模集成电路,应用大规模可编程器件有很多优点,除了能简化设计电路方案,节省设计成本外,而且因为它集成度较高,所以系统的运行速度快,可靠性也很好,再结合其并行工作方式,使得它在要求较高的系统中应用很广泛 ,所以本文中的交通灯控制系统也将采用基于FPGA的设计方法来实现。
[14]付铭骥.基于FPGA的交通灯系统控制设计.上海:东华大学,2011.
[15]Liu Zhenggang.FPGA-Based Dual-Mode Traffic Lights SystemDesign.School of Information Science and Engineering, Yunnan University,2009.
定时计数器模块采用倒数计时的方式,由控制器模块确定计时的起始、终止时间和倒计时持续时间,对交通信号的持续状态进行计数 。在常用的时序逻辑器件中,有很多功能比较实用的集成计数器,如:异步集成计数器74LS90,同步集成计数器74161,二进制可逆集成计数器74LS169等等,利用两片十进制同步加/减计数器74190和一些简单的逻辑器件也可以实现定时计数器的功能,但是集成器件FPGA本身就可以定义出这些逻辑器件,为了不使设计的系统复杂,不用再另外使用这些器件 。对于译码器和显示器模块来说,主要功能是将控制器输出的四种正常的工作状态,用动态扫描方式,轮流驱动四个数码管,将两个方向路口的红绿灯信号显示出来,并将倒计时时间也显示出来。用2线-4线译码器74LS139能将从计数器模块过来的信号,转换成能控制数码管发光的驱动信号 ,这样分别将这些模块用VHDL语言编写出来,再下载到FPGA器件上,就能完成交通灯控制器的系统设计。
[10]黄鸿锋.交通灯控制器的设计与实现.广西:广西职业技术学院,2010.
[11]沈晟.基于FPGA的交通灯控制系统的设计实现.云南:昆明理工大学 ,2010.
[12]姜庚.交通灯控制系统的设计原理探究.辽宁:产业与科技论坛,2013.
[13]G. Kalogeropoulos·G.C. Sirakoulis,I. Karafyllidis,Cellular automata on FPGAfor real-time urban trafficsignals control,2013.
在运用EDA技术设计数字系统时,通常采用VHDL硬件电路描述语言,实现系统的设计后,在集成开发环境中进行综合、仿真并下载到FPGA中,完成控制系统的功能设计 。VHDL是一种比较规范的硬件描述语言,它的设计描述可以被不同的工具所支持,同时也能用不同的器件来实现。利用VHDL语言自顶向下的设计方法来设计交通灯控制系统,能够较好地发挥出该语言的可读性和易于实现功能的特点,除了能实现良好的控制功能外,而且稳定、可靠、易于理解 。因此,在设计交通灯控制系统时,可以用VHDL语言在开发平台上进行完成。
智能交通灯的设计是数字系统设计中一个典型的实例,而可编程器件的发展和应用也为数字系统的设计带来了极大的方便,可编程逻辑器件通过软件编程,可以使硬件设计变得和软件设计一样易于实现。自21世纪开始,EDA技术得到了充分的发展,所谓EDA技术,即以计算机为工作平台,以相关软件为开发环境,以硬件描述语言为设计语言,以大规模可编程器件为载体的电子产品自动化设计技术 。而在目前的数字系统设计中,当所设计的系统比较复杂时,相应的电路设计难度和系统的调试难度也会提高,同时,使用的中小型集成芯片的数量也会大大提高,导致设计成本增多。因此,在数字系统设计中使用EDA技术是很有必要的。
3.技术方案及实施
首先根据所要求交通灯控制系统的功能,将各个时间段的交通灯功能状态转换成相应的状态图。采用EDA技术在数字系统中自上而下的设计方法,将整个控制系统划分成不同的几个模块:主控模块,定时器模块,译码模块和显示器模块等,定义好各个模块的基本功能后,开始用VHDL语言分别对各个模块进行编程,编译完成后对整个设计的控制系统进行仿真,最后将编写的程序下载到FPGA芯片中进行硬件的调试,看是否能实现所要求的功能 。
[2]赵宪文,王兰兰.交通灯控制的verilog实现.北京:硅谷杂志社,2011.
[3]王艳玲.基于EDA技术的数字电路课程设计.广西:桂林师范高等专科学校校报,2009.
[4]Sheldon Waite, Erdal Oruklu.FPGA-Based Traffic Sign Recognition for AdvancedDriver Assistance Systems.Department of Electrical and Computer Engineering, Illinois Institute of Technology.2013.
五.指导教师意见
该毕业设计研究了基于FPGA的城市交通灯控制系统。论文选题有一定的现实意义,在吸收国内外同行业研究成果的基础上,进一步提出了本文的研究内容以及实现方案。该报告内容合理、条理清晰,技术方案切实可行,同意在此基础上进行毕业设计。
指导教师签名:
2015年3月12日
相关文档
最新文档