半导体行业的英文单词和术语

合集下载

半导体行业英文术语

半导体行业英文术语

半导体行业英文术语English:Some common terms in the semiconductor industry include:1. Integrated Circuit (IC): A small electronic device made out of a semiconductor material that can perform an extensive range of functions.2. Semiconductor manufacturing: The process of creating integrated circuits and semiconductor devices, including design, fabrication, and packaging.3. Wafer: A thin slice of semiconductor material used as the substrate for the fabrication of integrated circuits.4. Photolithography: A process used to transfer circuit patterns onto the wafer surface using light and photoresist materials.5. Die: A single piece of an integrated circuit, typically cut from a wafer after fabrication and packaging.6. Yield: The percentage of functional and operational semiconductor devices produced during the manufacturing process.7. Moore's Law: The observation that the number of transistors in a dense integrated circuit doubles approximately every two years, leading to exponential growth in processing power.8. Quantum tunneling: A phenomenon in which electrons penetrate through a potential barrier they classically shouldn't be able to cross, crucial for the operation of semiconductor devices.中文翻译:半导体行业的一些常见术语包括:1. 集成电路(IC):由半导体材料制成的小型电子器件,可执行广泛的功能。

半导体mfg生产制造中常用的英文单词

半导体mfg生产制造中常用的英文单词

在半导体制造(Semiconductor Manufacturing)行业中,有许多专业术语和英文单词频繁出现,以下是一些常见的:1. Wafer - 晶圆,硅片2. Die - 芯片裸片3. Photolithography - 光刻技术4. Etching - 刻蚀5. Deposition - 沉积,包括物理气相沉积(PVD)、化学气相沉积(CVD)6. Ion Implantation - 离子注入7. Cleaning - 清洗8. Thermal Oxidation - 热氧化9. Diffusion - 扩散工艺10. Thin Film Transistor (TFT) - 薄膜晶体管11. Mask - 防护层、光罩12. Doping - 掺杂13. CMP (Chemical Mechanical Polishing) - 化学机械平坦化14. Sputtering - 溅射15. Bonding - 封装时的绑定过程16. Probe - 测试探针17. Final Test - 最终测试18. Packaging - 封装19. Silicon Wafer Fab - 晶圆厂20. Yield - 产出率,良率此外,还有许多与质量管理、设备维护、生产控制相关的词汇,例如:- Process Control - 工艺控制- Defect Inspection - 缺陷检测- Metrology - 测量科学- End-of-Line (EOL) Testing - 生产线末尾测试- Quality Assurance (QA) - 质量保证- Failure Analysis (FA) - 失效分析这些词汇共同构成了半导体制造行业的语言基础。

半导体词汇(英汉对照)

半导体词汇(英汉对照)

半导体词汇(英汉对照)1. 半导体:semiconductor2. 晶体管:transistor3. 二极管:diode4. 集成电路:integrated circuit5. 电容:capacitor8. 金属氧化物场效应管:Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET)9. 数字信号处理器:Digital Signal Processor (DSP)10. 有机发光二极管:Organic Light-Emitting Diode (OLED)11. 光纤放大器:Optical Fiber Amplifier (OFA)12. 直流-直流变换器:DC-DC Converter13. 脉冲编码调制:Pulse Code Modulation (PCM)14. 光耦合器:Optocoupler15. 调制解调器:Modem16. 电池管理系统:Battery Management System (BMS)17. 片上系统:System-on-a-Chip (SoC)18. 功率电子器件:Power Electronics Device20. 纳米技术:Nanotechnology21. 生物芯片:Biochip23. 激光器:Laser24. 双极型发射极晶体管:Bipolar Junction Transistor (BJT)28. 传感器:Sensor29. 能量收集器:Energy Harvester30. 固态驱动器:Solid State Drive (SSD)31. 磁性存储设备:Magnetic Storage Device32. 屏幕显示器:Display33. 快速门:Fast Gate35. 超高速芯片:Ultra-High-Speed Chip38. 量子计算机:Quantum Computer40. 机器人学:Robotics41. 表面声波器件:Surface Acoustic Wave (SAW) Device45. 长寿命电池:Long-Life Battery46. 红外光电探测器:Infrared Photodetector47. 树莓派:Raspberry Pi48. 可充电电池:Rechargeable Battery49. 无线充电器:Wireless Charger51. 控制电路:Control Circuit53. 逆变器:Inverter55. 拓扑优化器:Topology Optimizer57. 智能家居:Smart Home58. 传输线理论:Transmission Line Theory60. 片上调制器:On-Chip Modulator61. 内存芯片:Memory Chip63. 线性电源:Linear Power Supply64. 电机驱动器:Motor Driver66. 相变存储器:Phase-Change Memory (PCM)68. 氮化镓:Gallium Nitride (GaN)69. 自动驾驶:Autonomous Driving72. 机器学习:Machine Learning77. 差分信号:Differential Signal78. 相位锁定环:Phase Locked Loop (PLL)80. 峰值检测器:Peak Detector84. 相移器:Phase Shifter88. 滤波器:Filter91. 直流伏安表:Digital Multimeter (DMM)92. 频率计:Frequency Counter93. 降噪耳机:Noise-Canceling Headphones94. 耳返系统:In-Ear Monitoring (IEM) System95. 电学模型:Electrical Model97. 声音芯片:Audio Chip98. 跟踪器:Tracker。

半导体行业专业英语名词解释

半导体行业专业英语名词解释
角度研磨
12
ANGSTRON

13
)APCVD(ATMOSPRESSURE
常压化学气相沉积
14
AS75

15
ASHING,STRIPPING
电浆光阻去除
16
ASSEMBLY
晶粒封装
17
BACK GRINDING
晶背研磨
18
BAKE, SOFT BAKE, HARD BAKE
烘烤,软烤,预烤
19
BF2
49
DI WATER
去离子水
50
DOPING
参入杂质
51
DRAM , SRAM
动态,静态随机存取内存
52
DRIVE IN
驱入
53
E-BEAM LITHOGRAPHY
电子束微影技术
54
EFR(EARLY FAILURERATE)
早期故障率
55
ELECTROMIGRATION
电子迁移
56
ELECTRON/HOLE
电子/电洞
57
ELLIPSOMETER
椭圆测厚仪
58
EM(ELECTRO MIGRATION TEST)
电子迁移可靠度测试
59
END POINT DETECTOR
终点侦测器
60
ENERGY
能量
61
EPI WAFER
磊晶芯片
62
EPROM (ERASABLE-PROGRAMMABLE ROM)
电子可程序只读存储器
临时性制程变更通知
180
TEOS(TETRAETHYLOR THOSILICATE)
四乙基氧化硅

半导体行业的英文单词和术语

半导体行业的英文单词和术语

半导体行业的英文单词和术语A安全地线safe ground wire安全特性security feature安装线hook-up wire按半周进行的多周期控制multicycle controlled by half-cycle按键电话机push-button telephone set按需分配多地址demand assignment multiple access(DAMA)按要求的电信业务demand telecommunication service按组编码encode by groupB八木天线Yagi antenna白噪声white Gaussian noise白噪声发生器white noise generator半波偶极子halfwave dipole半导体存储器semiconductor memory半导体集成电路semiconductor integrated circuit半双工操作semi-duplex operation半字节Nib包络负反馈peak envelop negative feed-back包络延时失真envelop delay distortion薄膜thin film薄膜混合集成电路thin film hybrid integrated circuit保护比(射频)protection ratio (RF)保护时段guard period保密通信secure communication报头header报文分组packet报文优先等级message priority报讯alarm备用工作方式spare mode背景躁声background noise倍频frequency multiplication倍频程actave倍频程滤波器octave filter被呼地址修改通知called address modified notification被呼用户优先priority for called subscriber本地PLMN local PLMN本地交换机local exchange本地移动用户身份local mobile station identity ( LMSI)本地震荡器local oscillator比功率(功率密度) specific power比特bit比特并行bit parallel比特号码bit number (BN)比特流bit stream比特率bit rate比特误码率bit error rate比特序列独立性bit sequence independence必要带宽necessary bandwidth闭环电压增益closed loop voltage gain闭环控制closed loop control闭路电压closed circuit voltage边瓣抑制side lobe suppression边带sideband边带非线性串扰sideband non-linear crosstalk边带线性串扰sideband linear crosstalk边带抑制度sideband suppression边角辐射boundary radiation编号制度numbering plan编解码器codec编码encode编码律encoding law编码器encoder编码器输出encoder output编码器总工作时间encoder overall operate time编码效率coding efficiency编码信号coded signal编码约束长度encoding constraint length编码增益coding gain编译程序compiler鞭状天线whip antenna变频器converter变频损耗converter conversion loss变容二极管variable capacitance diode变形交替传号反转modified alternate mark inversion便携电台portable station便携设备portable equipment便携式载体设备portable vehicle equipment标称调整率(标称塞入率)nominal justification rate (nominal stuffing rate) 标称值nominal value标称呼通概率nominal calling probability标准码实验信号standard code test signal (SCTS)标准模拟天线standard artificial antenna标准频率standard frequency标准时间信号发射standard-time-signal emission标准实验调制standard test modulation标准输出功率standard power output标准输入信号standard input signal标准输入信号电平standard input-signal level标准输入信号频率standard input-signal frequency标准信躁比standard signal to noise表面安装surface mounting表示层presentation layer并串变换器parallel-serial converter (serializer)并馈垂直天线shunt-fed vertical antenna并行传输parallel transmission并行终端parallel terminal拨号错误概率dialing mistake probability拨号后延迟post-dialing delay拨号交换机dial exchange拨号线路dial-up line拨号音dialing tone拨号终端dial-up terminal波动强度(在给定方向上的)cymomotive force (c. m. f)波段覆盖wave coverage波峰焊wave soldering波特baud泊送过程Poisson process补充业务supplementary service (of GSM)补充业务登记supplementary service registration补充业务询问supplementary service interrogation补充业务互连supplementary service interworking捕捉区(一个地面接收台)capture area (of a terrestrial receiving station) 捕捉带pull-in range捕捉带宽pull-in banwidth捕捉时间pull-in time不连续发送discontinuous transmission (DTX)不连续干扰discontinuous interference不连续接收discontinuous reception (DRX)不确定度uncertainty步谈机portable mobile stationC采样定理sampling theorem采样频率sampling frequency采样周期sampling period参考边带功率reference side band power参考差错率reference error ratio参考当量reference equivalent参考点reference point参考结构reference configuration参考可用场强reference usable fiend-strength参考灵敏度reference sensibility参考频率reference frequency参考时钟reference clock参考输出功率reference output power残余边带调制vestigial sideband modulation残余边带发射vestigial-sideband emission操作维护中心operation maintenance center (OMC)操作系统operation system (OS)侧音消耗sidetone loss层2转发layer 2 relay (L2R)插入组装through hole pachnology插入损耗insertion loss查号台information desk差错控制编码error control coding差错漏检率residual error rate差分脉冲编码调制(差分脉码调制)differential pulse code modulation (DPCM) 差分四相相移键控differential quadrature phase keying (DQPSK)差分相移键控differential phase keying (DPSK)差模电压,平衡电压differential mode voltage, symmetrical voltage差拍干扰beat jamming差频失真difference frequency distortion长期抖动指示器long-term flicker indicator长期频率稳定度long-term frequency stability场强灵敏度field intensity sensibility场效应晶体管field effect transistor (FET)超长波通信myriametric wave communication超地平对流层传播transhorizon tropospheric超地平无线接力系统transhorizon radio-relay system超高帧hyperframe超帧superframe超大规模集成电路very-large scale integrated circuit (VLSI)超再生接收机super-regenerator receiver车载电台vehicle station撤消withdrawal成对不等性码(交替码、交变码)paired-disparity code (alternative code, alternating code)承载业务bearer service城市交通管制系统urban traffic control system程序设计技术programming technique程序设计环境programming environment程序优化program optimization程序指令program command充电charge充电率charge rate充电效率charge efficiency充电终止电压end-of charge voltage抽样sampling抽样率sample rate初级分布线路primary distribution link初始化initialization处理增益processing gain传播时延propagation delay传播系数propagation coefficient传导干扰conducted interference传导杂散发射conducted spurious emission传递函数transfer function传递时间transfer time传声器microphone传输保密transmission security传输层协议transport layer protocol传输集群transmission trunking传输结束字符end of transmission character传输媒体transmission medium传输损耗transmission loss传输损耗(无线线路的)transmission loss (of a radio link)传输通道transmission path传输信道transmission channel传真facsimile, FAX船舶地球站ship earth station船舶电台ship station船舶移动业务ship movement service船上通信电台on-board communication station ,ship communication station 船用收音机ship radio串并变换机serial to parallel (deserializer)串并行变换serial-parallel conversion串话crosstalk垂直方向性图vertical directivity pattern唇式传声器lip microphone磁屏蔽magnetic shielding次级分布线路secondary distribution link猝发差错burst error猝发点火控制burst firing control存储程序控制交换机stored program controlled switching systemD大规模集成电路large scale integrated circuit (LSI)大信号信躁比signal-to-noise ratio of strong signal带成功结果的常规操作normal operation with successful outcome 带宽bandwidth带内导频单边带pilot tone-in-band single sideband带内谐波in-band harmonic带内信令in-band signalling带内躁声in-band noise带通滤波器band-pass filter带外发射out-of-band emission带外功率out-of-band power带外衰减attenuation outside a channel带外信令out-band signalling带状线stripline单边带发射single sideband (SSB) emission单边带发射机single side-band (SSB) transmitter单边带调制single side band modulation单边带解调single side band demodulation单边带信号发生器single side band signal generaltor单端同步single-ended synchronization单工、双半工simplex, halfduplex单工操作simplex operation单工无线电话机simplex radio telephone单呼single call单频双工single frequency duplex单频信令single frequency signalling单相对称控制symmetrical control (single phase)单相非对称控制asymmetrical control (single phase)单向one-way单向的unidirectional单向控制unidirectional control单信道地面和机载无线电分系统SINCGARS单信道无绳电话机single channel cordless telephone单信号方法single-signal method单音tone单音脉冲tone pulse单音脉冲持续时间tone pulse duration单音脉冲的单音频率tone frequency of tone pulse单音脉冲上升时间tone pulse rise time单音脉冲下降时间tone pulse decay time单音制individual tone system单元电缆段(中继段)elementary cable section (repeater section) 单元再生段elementary regenerator section (regenerator section)单元增音段,单元中继段elementary repeater section当被呼移动用户不回答时的呼叫转移call forwarding on no reply (CFNRy)当被呼移动用户忙时的呼叫转calling forwarding on mobile subscriber busy (CFB)当漫游到原籍PLMN国家以外时禁止所有入呼barring of incoming calls when roaming outside the home PLMN country (BIC-Roam)当前服务的基站current serving BS当无线信道拥挤时的呼叫转移calling forward on mobile subscriber not reachable (CENRc)刀型天线blade antenna导频pilot frequency导频跌落pilot fall down倒L型天线inverted-L antenna等步的isochronous等幅电报continuous wave telegraph等权网(互同步网)democratic network (mutually synchronized network)等效比特率equivalent bit rate等效地球半径equivalent earth radius等效二进制数equivalent binary content等效全向辐射功率equivalent isotropically radiated power (e. i. r. p.)等效卫星线路躁声温度equivalent satellite link noise temperature低轨道卫星系统LEO satellite mobile communication system低气压实验low atmospheric pressure test低时延码激励线性预测编码low delay CELP (LD-CELP)低通滤波器low pass filter低温实验low temperature test低躁声放大器low noise amplifier地-空路径传播earth-space path propagation地-空通信设备ground/air communication equipment地波ground wave地面连线用户land line subscriber地面无线电通信terrestrial radio communication地面站(电台)terrestrial station第N次谐波比nth harmonic ratio第二代无绳电话系统cordless telephone system second generation (CT-2)第三代移动通信系统third generation mobile systems点波束天线spot beam antenna点对地区通信point-area communication点对点通信point-point communication点至点的GSM PLMN连接point to point GSM PLMN电报telegraphy电报电码telegraph code电波衰落radio wave fading电池功率power of battery电池能量energy capacity of battery电池容量battery capacity电池组battery电磁波electromagnetic wave电磁波反射reflection of electromagnetic wave电磁波饶射diffraction of electromagnetic wave电磁波散射scattering of electromagnetic wave电磁波色射dispersion of electromagnetic wave电磁波吸收absorption of electromagnetic wave电磁波折射refraction of electromagnetic wave电磁场electromagnetic field电磁发射electromagnetic field电磁辐射electromagnetic emission电磁干扰electromagnetic interference (EMI)电磁感应electromagnetic induction电磁环境electromagnetic environment电磁兼容性electromagnetic compatibility (EMC)电磁兼容性电平electromagnetic compatibility level 电磁兼容性余量electromagnetic compatibility margin 电磁脉冲electromagnetic pulse (EMP)电磁脉冲干扰electromagnetic pulse jamming电磁敏感度electromagnetic susceptibility电磁能electromagnetic energy电磁耦合electromagnetic coupling电磁屏蔽electromagnetic shielding电磁屏蔽装置electromagnetic screen电磁骚扰electromagnetic disturbance电磁噪声electromagnetic noise电磁污染electromagnetic pollution电动势electromotive force (e. m. f.)电话机telephone set电话局容量capacity of telephone exchange电话型电路telephone-type circuit电话型信道telephone-type channel电离层ionosphere电离层波ionosphere wave电离层传播ionosphere propagation电离层反射ionosphere reflection电离层反射传播ionosphere reflection propagation电离层散射传播ionosphere scatter propagation电离层折射ionosphere refraction电离层吸收ionosphere absorption电离层骚扰ionosphere disturbance电流探头current probe电屏蔽electric shielding电视电话video-telephone, viewphone, visual telephone电台磁方位magnetic bearing of station电台方位bearing of station电台航向heading of station电文编号message numbering电文队列message queue电文格式message format电文交换message switching电文交换网络message switching network电文结束代码end-of-message code电文路由选择message routing电小天线electronically small antenna电信管理网络telecommunication management network (TMN)电信会议teleconferencing电压变化voltage change电压变化持续时间duration of a voltage change电压变化的发生率rate of occurrence of voltage changes电压变化时间间隔voltage change interval电压波动voltage fluctuation电压波动波形voltage fluctuation waveform电压波动量magnitude of a voltage fluctuation电压不平衡voltage imbalance, voltage unbalance电压浪涌voltage surge电压骤降voltage dip电源power supply电源电压调整率line regulation电源抗扰性mains immunity电源持续工作能力continuous operation ability of the power supply 电源去耦系数mains decoupling factor电源骚扰mains disturbance电子干扰electronic jamming电子工业协会Electronic Industries Association (EIA)电子系统工程electronic system engineering电子自动调谐electronic automatic tuning电子组装electronic packaging电阻温度计resistance thermometer跌落试验fall down test顶部加载垂直天线top-loaded vertical antenna定长编码block code定期频率预报periodical frequency forecast定时clocking定时超前timing advance定时恢复(定时抽取)timing recovery (timing extration)定时截尾试验fixed time test定时信号timing signal定数截尾试验fixed failure number test定向天线directional antenna定型试验type test动态频率分配dynamic frequency allocation动态信道分配dynamic channel allocation动态重组dynamic regrouping动态自动增益控制特性dynamic AGC characteristic抖动jitter独立边带independent sideband独立故障independent fault端到端业务teleservice短波传播short wave propagation短波通信short wave communication短路保护short-circuit protection短期抖动指示器short-term flicker indicator短期频率稳定度short-term frequency stability短时间中断(供电电压)short interruption (of supply voltage)段终端section termination对称二元码symmetrical binary code对地静止卫星geostationary satellite对地静止卫星轨道geostationary satellite orbit对地同步卫星geosynchronous satellite对讲电话机intercommunicating telephone set对空台aeronautical station对流层troposphere对流层波道troposphere duct对流层传播troposphere propagation对流层散射传播troposphere scatter propagation多次调制multiple modulation多点接入multipoint access多电平正交调幅multi-level quadrature amplitude modulation (QAM) 多分转站网multidrop network多服务器队列multiserver queue多工multiplexing多工器nultiplexer多功能系统MRS多级处理multilevel processing多级互连网络multistage interconnecting network多级卫星线路multi-satellite link多径multipath多径传播multipath propagation多径传播函数nultipath propagation function多径分集multipath diversity多径时延multipath delay多径衰落multipath fading多径效应multipath effect多路复接multiplexing多路接入multiple access多路信道multiplexor channel多脉冲线性预测编码multi-pulse LPC (MPLC)多频信令multifrequency signalling多普勒频移Doppler shift多跳路径multihop path多信道选取multichannel access (MCA)多信道自动拨号移动通信系统multiple-channel mobile communication system with automatic dialing 多优先级multiple priority levels多帧multiframe多址呼叫multiaddress call多址联接multiple access多重时帧multiple timeframe多用户信道multi-user channelE额定带宽rated bandwidth额定射频输出功率rated radio frequency output power额定使用范围rated operating range额定音频输出功率rated audio-frequency output power额定值rated value爱尔兰erlang恶意呼叫识别malicious call identification (MCI)耳机(受话器)earphone耳机额定阻抗rated impedance of earphone二十进制码binary-coded decimal (BCD) code二十进制转换binary-to-decimal conversion二十六进制转换binary-to-hexadecimal conversion二进制码binary code二进制频移键控binary frequency shift keying (BFSK)二进制数binary figure二频制位binary digit(bit)二频制two-frequency system二维奇偶验码horizontal and vertical parity check code二线制two-wire system二相差分相移键控binary different phase shift keying (BDPSK)二相相移键控binary phase shift keying (BPSK)F发报机telegraph transmitter发射emisssion发射(或信号)带宽bandwidth of an emission (or a signal)发射机transmitter发射机边带频谱transmitter sideband spectrum发射机额定输出功率rated output power of transmitter发射机合路器transmitter combiner发射机冷却系统cooling system of transmitter发射机启动时间transmitter attack time发射机效率transmitter frequency发射机杂散躁声spurious transmitter noise发射机之间的互调iner-transmitter intermodulation发射机对答允许频(相)偏transmitter maximum permissible frequency(phase) deviation 发射类别class of emission发射频段transmit frequency band发射余量emission margin发送sending发送响度评定值send loudness rating (SLR)繁忙排队/自动回叫busy queuing/ callback反馈控制系统feedback control system反射功率reflection power反射卫星reflection satellite反向话音通道reverse voice channel (RVC)反向控制信道reverse control channel (RECC)泛欧数字无绳电话系统digital European cordless telephone方舱shelter方向性系数directivity of an antenna防爆电话机explosion-proof telephone set防潮moisture protection防腐蚀corrosion protection防霉mould proof仿真头artificial head仿真耳artificial ear仿真嘴artificial mouth仿真天线dummy antenna放大器amplifier放大器线性动态范围linear dynamic range of amplifier放电discharge放电电压discharge voltage放电深度depth of discharge放电率discharge rate放电特性曲线discharge character curve非等步的anisochronous非归零码nonreturn to zero code (NRZ)非均匀编码nonuniform encoding非均匀量化nonuniform quantizing非连续干扰discontinuous disturbance“非”门NOT gate非强占优先规则non-preemptive priority queuing discipline非受控滑动uncontrolled slip非线性电路nonlinear circuit非线性失真nonliear distortion非线性数字调制nonlinear digital modulation非占空呼叫建立off-air-call-set-up (OACSU)非专用控制信道non-dedicated control channel非阻塞互连网络non-blocking interconnection network分贝decibel (dB)分辨力resolution分布参数网络distributed parameter network分布式功能distributed function分布式数据库distributed database分别于是微波通信系统distributed microwave communication system 分布式移动通信系统distributed mobile communication system分布路线distribution link分段加载天线sectional loaded antenna分机extension分集diversity分集改善系数diversity improvement factor分集间隔diversity separation分集增益diversity gain分集接收diversity reception分接器demultiplexer分频frequency division分散定位distributed chann。

半导体行业术语

半导体行业术语

半导体行业术语半导体行业术语是指用于描述和解释半导体及相关技术的术语和术语缩略语。

以下是一些常见的半导体行业术语及其参考解释。

1. 半导体(Semiconductor)- 指的是电导介于导体和绝缘体之间的固态材料,通常是以硅(Si)或镓(Ga)为主要成分,用于制造电子器件。

2. 集成电路(Integrated Circuit,IC)- 也被称为芯片,是将数十亿个晶体管、电阻器、电容器和其他电子元件集成到一块半导体晶片上的技术。

3. MOSFET(Metal-Oxide-Semiconductor Field-Effect Transistor)- MOSFET是一种常用的场效应晶体管,通过控制栅电压来调节源极电流。

4. CMOS(Complementary Metal-Oxide-Semiconductor)- CMOS是一种基于nMOS(n沟道金属-氧化物-半导体)和pMOS(p沟道金属-氧化物-半导体)技术的集成电路制造技术。

5. MEMS(Micro-Electro-Mechanical Systems)- MEMS是一种将微机械系统与电子技术相结合的技术,包括制造微型传感器、执行器和微型结构等。

6. 晶圆(Wafer)- 指的是在半导体制造过程中用于制作芯片的圆形硅片。

晶圆上会进行刻蚀、沉积、光刻等工艺。

7. 工艺(Process)- 指的是制造半导体器件所需的一系列步骤和工作流程,包括光刻、刻蚀、沉积、清洗等。

8. 掩膜(Mask)- 掩膜用于光刻工艺,上面有设计好的图案,通过光刻暴光制造电路芯片的图案。

9. Doping(掺杂)- 在半导体材料中引入杂质,以调整材料的导电性能。

常见的掺杂剂包括硼、磷、砷等。

10. 渗透磁场(Permeable Magnetic Field)- 渗透磁场是指在磁性材料的边界上产生的特殊磁场,常用于磁传感器和存储器中。

11. 氮化镓(Gallium Nitride,GaN)- 氮化镓是一种半导体材料,具有高电子流动性和较大的能隙,适用于高功率电子器件的制造。

半导体行业专业词汇

半导体行业专业词汇

半导体行业专业词汇. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体行业的英单词和术语

半导体行业的英单词和术语

半导体行业的英单词和术语1. Semiconductor(半导体):指一种导电性能介于导体和绝缘体之间的材料,广泛应用于电子器件中。

3. Integrated Circuit(集成电路):简称IC,将大量的微小电子元件(如晶体管、电阻、电容等)集成在一块半导体芯片上。

4. Transistor(晶体管):一种半导体器件,具有放大信号和开关功能,是现代电子设备的基础组件。

5. Diode(二极管):一种具有单向导通特性的半导体器件,常用于整流、稳压等电路。

6. MOSFET(金属氧化物半导体场效应晶体管):一种常见的晶体管类型,广泛应用于放大器和开关电路。

7. CMOS(互补金属氧化物半导体):一种集成电路技术,采用NMOS和PMOS晶体管组合,具有低功耗、高集成度等优点。

8. Wafer(晶圆):指经过切割、抛光等工艺处理的半导体材料,用于制造集成电路。

9. Photolithography(光刻):在半导体制造过程中,利用光刻技术将电路图案转移到晶圆上的过程。

10. Etching(刻蚀):在半导体制造过程中,通过化学反应或物理方法去除晶圆表面不需要的材料。

11.掺杂(Doping):在半导体材料中引入其他元素,以改变其导电性能。

12. Chip(芯片):指经过封装的集成电路,是电子设备的核心组成部分。

13. PCB(印刷电路板):一种用于支撑和连接电子元件的板材,上面布满了导电线路。

14. Moore's Law(摩尔定律):指集成电路上可容纳的晶体管数量大约每两年翻一番,预测了半导体行业的发展趋势。

15. EDA(电子设计自动化):指利用计算机软件辅助设计电子系统,包括电路设计、仿真、验证等环节。

16. Foundry(代工厂):专门为其他公司生产半导体芯片的企业。

17. Semiconductor Equipment Manufacturer(半导体设备制造商):为半导体行业提供生产设备的公司。

半导体制造专业英语术语

半导体制造专业英语术语

半导体术语表第1页共68页半导体术语表第2页共68页amorphous 非晶的,无定型an alog 模拟信号angstrom 埃anion 阴离子an isotropic etch profile 各向异性刻蚀剖面ann eal 退火an tim ony(sb) 锑an tirelective coat in g(ARC) 抗反射涂层APCVD 常压化学气向淀积applicatio n specific IC(ASIC) 专用集成电路aqueous soluti on 水溶液area array 面阵歹Uargon (Ar) n.[化]氩arse ni c(As) 砷arsin e(AsH3) 砷化氢,砷烷ashi ng 灰化,去胶aspect ratio 深宽比,高宽比aspect ratio depe ndent etchi ng(ARDE) 与刻蚀相关的深宽比asphyxia nt 窒息剂assay n umber 检定数atmospheric adj. 大气的atmospheric pressure 大气压atmospheric pressure CVD(APCVD) 常压化学气向淀半导体术语表第3页共68页backi ng film 背膜baffle vt. 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇编(挡片块)ball grid array(BGA) 球栅阵列ballroom layout barrel reactor舞厅式布局,超净间的布局圆桶型反应室barrier metal 阻挡层金属barrier voltage 势垒电压base 基极,基区batch 批半导体术语表第4页共68页bay and chase layout 生产区和技术夹层区beam blow-up 离子束膨胀beam curre nt 束流beam decelerati on 束流减速beam energy 离子束能量beol (生产线)后端工序best focus 最佳聚焦BGA 球栅阵列Biasi ng 电压拉偏BICMOS 双极CMOS半导体术语表第5页共68页半导体术语表 第6页共68页突破步骤,起始的干法刻蚀步骤亮场检查涮洗buffered oxide etch(BOE) bulk chemical distributi on bulk gases 大批气体 bulkhead equipme nt layout bumped chip 凸点式芯片buried layer 埋层 burn-box 燃烧室(或盒) bur n-i n 老化 CA 化学放大(胶) can tilever n.[建]悬臂 can tilever paddle 悬臂桨 cap oxide 掩蔽氧化层 capacita nce电容capacita nce-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器breakthrough step brightfield detection brush scrubb ing bubbler 带鼓泡槽氧化层腐蚀缓冲液 批量化学材料配送 穿壁式设备布局半导体术语表第7页共68页caro ' s acid 3 号液carrier 载流子carrier-depleti on region 载流子耗尽层carrier gas 携带气体cassette (承)片架cati on 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD-SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面cen ter slow 中心慢速central process ing uni t(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Cha nnel 沟道cha nn el le ngth 沟道长度cha nn eli ng 沟道效应charge carrier 载流子chase技术夹层chelati ng agent 螯合齐ijchemical amplificatio n(CA) 化学放大胶chemical etch mecha半导体术语表第8页共68页nism 化学刻蚀机理chemical mecha ni cal pla narizati on (CMP) 化学机械平坦化chemical soluti on 化学溶液chemical vapor depositio n(CVD) 化学气相淀积chip 芯片chip on board(COB) 板上芯片chip scale package(CSP) 芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级别clea nroom 净化间clea nroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficie nt n.[数]系数Coefficie nt of thermal expa nsio n(CTE)热涨系数半导体术语表第9页共68页Cohere nce probe microscope 相干探测显微镜Cohere nt light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputteri ng 准直溅射Compensate v.偿还,补偿,付报酬Compo und semic on ductor 化合物半导体Con ce ntrati on 浓度Conden sation 浓缩Con ductor 导体constantly adv.不变地,经常地,坚持不懈地Co nfocal microscope 共聚焦显微镜Con formal step coverage 共型台阶覆盖Con tact 接触(孔)Con tact alig nment 接触式对准(光刻)Con tact an gle meter 接触角度仪Con tam in ati on 沾污、污染conti boat 连柱舟半导体术语表第10页共68页con ticaster [冶]连铸机Contin uous spray develop 连续喷雾显影Con tour maps 包络图、等位图、等值图Co ntrast 对比度、反差contribution n. 捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVDCopper in terc onnect 铜互连Cost of own ership(COO) 业主总成本Coval ent bond 共价键Critical dime nsio n 关键尺寸Cryoge nic aerosol clea ning 冷凝浮质清洗Cryoge nic pump(cryopump) 冷凝泵Crystal 晶体Crystal activatio n 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orie ntati on 晶向CTE 热涨系数半导体术语表第11页共68页Curre nt-drive n curre nt amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamasce ne 大马士革工艺darkfiled detectio n 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects den sity 缺陷密度defect 缺陷deglaze 漂氧化层degree of pla narity(DP) 平整度dehydrati on bake 去湿烘培,脱水烘培den sity 密度deple nti on mode 耗尽型半导体术语表第12页共68页degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt.存放,堆积vi.沉淀depositi on 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT) 可测试设计desorpt ion 解吸附作用develop in spect 显影检查developme nt 显影developer 显影液deviati on n. 背离device isolati on 器件隔离device tech no logy 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosila ne(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alig nme nt 逐个芯片对准dielectric 介质dielectric con sta nt 介电常数die matrix 芯片阵列die separati on 分片diffraction 衍射半导体术语表第13页共68页diffractio n-limited optics 限制衍射镜片diffusion 扩散diffusi on con trolled 受控扩散digital/analog 数字/模拟digital circuitdilue ntdirect chip attach( DCA)direct ion ality discrete dishi ng dislocati on dissoluti on rate dissolution rate mon itor(DRM) 溶解率监测DNQ- novolak 重氮柰醌一酚醛树脂Donor 施主dopa nt profile 掺杂刨面) doped region 掺杂区dop ing 掺杂dose mo nitor 剂量检测仪dose,Q 剂量dow nstream reactor 顺流法反应drain 漏drive-in 推进dry etch 干法刻蚀dry mecha ni cal pump 干式机械泵dry oxidati on 干法氧化dummy n.哑巴,傀儡,假人,假货adj. 假的,虚虚拟的,构的n.[计]哑元dynamic adj. 动力的,动力学的,动态的E半导体术语表第14页共68页econ omies of scale 规模经济edge bead removal 边缘去胶edge die 边缘芯片edge exclusi on 无效边缘区域electrically erasable PROM 电可擦除EPROMelectrode 电极electromigrati on 电迁徙electro n beam lithography 电子束光刻electro n cyclotro n reso nance 电子共振回旋加速器electro n shower 电子簇射,电子喷淋electro n stopp ing 电子阻止electro nic wafer map 硅片上电性能分布图electroplat ing 电镀electropolishi ng 电解拋光electrostatic chuck 静电吸盘electrostatic discharge(ESD) 静电放电ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极en dpo int detecti on 终点检测engin eeri ng n.工程(学) electrostatic discharge(EDX) 能量弥散谱仪enhan ceme nt mode 增强型epi 夕卜延epitaxial layer 夕卜延层epoxy un derfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器半导体术语表第15页共68页erosion 腐蚀,浸蚀establish vt.建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias 刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch un iformity 刻蚀均匀性etcha nt 刻蚀剂etchback pla narizati on 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporati on 蒸发even adj.平的,平滑的,偶数的,一致的,平静的, 恰好的,平均的,连贯的adv.[加强语气]甚至(…也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量半导体术语表第16页共68页extracti on electrode 吸极extreme UV 极紫外线extri nsic silic on 掺杂硅FFables 无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furn aces 快速升降温炉fault model 失效模式FCC diam ond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s laws FICK 定律field-effect tran sistor 场效应晶体管field oxide 场氧化field-by-field alig nme nt 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packag ing 最终装配和圭寸装final test 终测first in terlayer dielectric(ILD-1) 第一层层间介质fixed oxide charge 固定氧化物电荷半导体术语表第17页共68页flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal le ngth 焦距focal pla ne 焦平面focal point 焦点focus 聚焦focus ion beam(FIB) 聚焦离子束footpri nt 占地面积formula n.公式,规则,客套语forward bias 正偏压four-po int probe 四探针frenkel defect Frenkel 缺陷fron t-ope ning uni fied pod(FOUP)前开口盒fun ctio nal test 功能测试furn ace flat zone 恒温区Gg-line G 线gallium(Ga)镓gallium arse nide(GaAs) 砷化镓gap fill 间隙填充gas 气体gas cabinet 气柜gas man ifold 气瓶集装gas phase n ucleati on 气相成核gas purge 气体冲洗gas throughput 气体产量半导体术语表第18页共68页gate 栅gate oxide 栅氧化硅gate oxide in tegrity 栅氧完整性germa ni um(Ge) 错getter 俘获glass 玻璃glazi ng 光滑表面global alig nment 全局对准global pla narizatio n 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grow n oxide layer 热氧化生长氧化层HHaloge n 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic seali ng 密圭寸heteroepitaxy 异质外延heteroge neous reacti on 异质反应hexamethyldisilaza ne(HMDS)六甲基二硅氨烷high-de nsity plasma(HDPCVD) 高密度等离子体化学气相淀积high-de nsity plasma etch 高密度等离子刻蚀high-pressure oxidati on 高压氧化high-temperature diffusi on furn ace高温扩散炉high vacuum 高真空high vacuum pumps 高真空泵半导体术语表第19页共68页半导体术语表 第20页共68页IC reliability 集成电路可靠性 Iddq test ing 静态漏电流测试 image resolution 图象清晰度 图象分解力 impla nt v.灌输(注入) impurity 杂质 in creme nt n. 增加,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母in situ measurem ents 在线测量 in dex of refraction 折射率 indium 铟in ductively coupled plasma(ICP) 电感耦合等离子体 in ert gas 惰性气体in frared in terfere nce 红外干涉 in got 锭ink mark 墨水标识在线参数测试 输入/输出管脚 学院,协会 vt.创立,开始,制 定,开始(调查),提起(诉讼) in sulator 绝缘体in-li ne parametric test in put/output(I/O)pin institute n. 学会,半导体术语表第21页共68页in tegrated measurem ent tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连in terco nn ect delay 互连连线延迟in terface-trapped charge 界面陷阱电荷in terferometer 干涉仪in terlayer dielectric(ILD) 层间介质in terstitial 间隙(原子)in tri nsic silic on 本征硅in voke v. 调用ion 离子ion analyzer 离子分析仪ion beam milli ng or ion beam etch in g(IBE) 离子铣或离子束刻蚀ion impla ntati on 离子注入ion impla ntati on damage 离子注入损伤ion impla ntati on dop ing 离子注入掺杂ion impla nter 离子注入机ion projectio n lithography(IPL) 离子投影机ioni zati on 离子化ion ized metal plasma PVD 离子化金属等离子IPA PVD半导体术语表第22页共68页vapor dry 异丙醇气相干燥isolati on regions 隔离区isotropic etch profile 各向同性刻蚀刨面JJEFT结型场效应管jun ctio n(p n) PN 结jun cti on depth 结深jun cti on spik ing 结尖刺KKelvi n 绝对温度killer defect 致命缺陷ki netically con trolled react ion 功能控制效应Llam inar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusi on 横向扩散law of reflecti on 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compact ion 透镜收缩light 光light in te nsity 光强light scatteri ng 光散射lightly doped drai n(LDD) 轻掺杂漏半导体术语表第23页共68页lin ear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区lin ewidth 线宽liquid 液体lithography 光刻loaded brush 沾污的毛刷loaded effect负载效应loadlock 真空锁local in terco nn ect(LI) 局部互连local pla narizati on 局部平坦化local oxidation of silico n(LOCOS) 硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD)彳氐压化学气相淀积LSI大规模集成电路Mmagnetic CZ ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputteri ng 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop 补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,半导体术语表第24页共68页掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow con troller(MFC) 质量流量计mass spectrometer 质谱仪mass-tra nsport limited reacti on质量传输限制效应mathematical adj.数学的,精确的mea n free path(MFP) 平均自由程medium vacuum 中真空半导体术语表第25页共68页megasonic cleaning 超声清洗melt熔融membra ne con tactor 薄膜接触器,隔膜接触器membra ne filter 薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metaladj. contact 金属接触孔metal impurities 金属杂质metalstack 复合金属,金属堆叠metallizati on 金属化metalorga nic CVD 金属有机化学气相淀积metrology 度量衡学microchip 微芯片microdefect 微缺陷microlithography 微光刻microloadi ng 微负载,与刻蚀相关的深宽比micron 微米microprocessor n.[计]微处理器microprocessor unit 微处理器microrough ness 微粗糙度Miller in dices 密勒指数minienvironment 微环境mi ni mum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ion ic co ntami nan ts(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt. 更改,修改v.修改molecular beam epitaxy (MBE)分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,半导体术语表第26页共68页样片mono crystal 单晶mono lithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor currea nt en dpoi nt 电机电流终点检测(法)MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multile nel metallization 多重金属化Murphy's model 墨菲模型Nnan ometer( nm) 纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negati ne resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受)ne gati ne resist developm ent 负性光刻胶显影neutral beam trap 中性束陷阱ne xt-g ene rati on lithography 下一代光刻技术n itric acid(HNO3) 硝酸nitroge n(N2) 氮气nitrogen trifluoride(NF3) 三氟化氮半导体术语表第27页共68页nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管non critical layer 非关键层non volatile memory 非挥发性存储器n ormality 归一化notch 定位槽novolak 苯酚甲醛聚树脂材料npn npn型(三极管) n-type silicon n 型硅nu clear stopp ing 离子终止nucleati on 成核现象,晶核形成nu clei coalesce nce 核合并numerical aperture(NA) 数值孔径n-well n 阱Oobjective (显微镜的)物镜off-axis illumi natio n( OAI) 偏轴式曝光,离轴式曝光ohmic con tact 欧姆接触op amp 运算放大器optical in terferometry en dpoi nt 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correctio n(O PC) 光学临近修正半导体术语表第28页共68页optical pyrometer 光学高温计optics 光学organic compo und 有机化合物半导体术语表第29页共68页out-diffusion 反扩散outgassi ng 除气作用overdrive 过压力overetch step 过刻蚀overflow rin ser 溢流清洗overlay accuracy 套准精度overlay budget 套准偏差overlay registrati on 套刻对准oxidati on 氧化oxidati on-in duced stack ing faults(OISF) 缺陷,氧化诱生堆垛层错oxide 氧化物、氧化层、氧化膜oxidezer 氧化剂oxide-trapped charge 氧化层陷阱电荷ozo ne(O3) 臭氧Ppackage 封装管壳pad con diti oning 垫修整pad oxide 垫氧化膜paddle 悬臂n.短桨,划桨,明轮翼水,涉水vt.用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(pla nar)reactor 平板反应parallel testi ng 并行测试parameter 参数parametric test 参数测试parasitic 寄生parasitic capacita nee 寄生电容parasitic resista nce 寄生电阻parasitic tran sistor 寄生电阻器partial pressure 分压particle den sity 颗粒密度氧化诱生层积vi.划桨,戏半导体术语表第30页共68页particle per wafer per pass(PWP)每步每片上的颗粒数passivati on 钝化passivati on layer 令屯化层passive comp onents 无源元件pattern sen sitivity 图形灵敏性patterned etchi ng 图形刻蚀pattern wafer 带图形硅片patterni ng 图形转移,图形成型,刻印pc board 印刷电路版p-channel MOSFET p 沟道MOSFETPCM 工艺控制监测PEB 曝光后烘焙PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜pen tava lent 五价元素perform vt. 履行,执行,表演,演出v. performing完成任务adj. 表演的,履行的perimete array 周边阵列式(圭寸装) pH scale pH 值phase-shift mask(PSM) 相移掩膜技术phosphi ne(PH3)磷化氢phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷半导体术语表第31页共68页phosphorus oxychloride(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid gen erator(PAG) 光酸产生剂photoacoustics 光声的photoactive compou nd(PAC) 感光化合物photography n.摄影,摄影术光刻photolithography 光刻(技术)photomask 光掩膜photoresist 光刻胶photoresist stripp ing 去胶、光刻胶去除physical etch mecha nism 物理刻蚀机理physical vapor depositio n(PVD) 物理气相淀积pigtail 引出头pin grid array(PGA) 针栅阵列式(封装) pin hole 针孑L pira nha 3 号液pitch 间距pla nar 平面pla nar capacitor平面电容pla nar process 平面工艺pla narizati on 平坦化plasma 等离子体n.[解]血浆,乳浆,[物]等离子体,等离子区plasma-based dry clea ning 等离子体干法清洗plasma electro n flood 等离子电子流plasma enhancedCVD(PECVD) 等离子体增强CVD半导体术语表第32页共68页plasma-in duced damage 等离子体诱导损伤plasma pot en tial distributi on 等离子体势分布plastic dual in-li ne package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packag ing 塑料圭寸装plug 塞,填充vt. 埼塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisso n's model 泊松模型polarizati on 极化,偏振polarized light 极化光,偏振光polish 拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishi ng loop 磨拋循环polishi ng pad 拋光(衬)垫polycide 多晶硅化物polycrystal 多晶半导体术语表第33页共68页polysilic on 多晶硅polysilic on gate 多晶硅栅portion n. —部分,一分positive lithography 正性光刻positive resist 正性光刻胶positive resist developme nt 正性光刻胶显影post-develop in specti on 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphizati on 预非晶化precursor 先驱物predepositi on 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orie ntati on flat 主定位边print bias光刻涨缩量prin ted circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober 探针台process 工艺process chamber 工艺腔,工艺反应室process chemical 工艺化学process control mon itor(PCM) 工艺控制监测(图形) process latitude 工艺水平,工艺能力process recipe 工艺菜单programmable array logic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件半导体术语表第34页共68页programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt.提示,鼓动,促使,(给演员)提白adj.敏捷的,迅速的,即时的adv. 准时地n. DOS 命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportio nal ba nd 比例区,比例带,比例尺范围proximity alig ner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率pun chthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyroge nic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass an alyzer(QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管半导体术语表第35页共68页quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发ran dom access memory(RAM) 随机存储器range射程rapid thremal ann eal(RTA) 快速热退火rapid thermal processor(RTP) 快速热处理RCA clea n RCA 清洗reactio n rate limited 反应速率限制reactive ion etch(RIE) 反应离子刻蚀reactivity 反应性reactor 反应室,反应腔read-o nlymemory(ROM) 只读存储器recomb in ati on 复合redistribut ion 再分布reflection spectroscopy 反射光谱仪reflective no tchi ng 反射开槽reflow 回流refraction 折射refractory metal 难融金属regeneration 再生regene rati on 套准精度relative in dex of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt.重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA) 残余气体分析器resist光刻胶半导体术语表第36页共68页resist developme nt 光刻胶显影resista nee 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO) 反向渗透RF射频RF sputteri ng 射频溅射rinse v.嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughi ng pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scali ng按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪sea nning electro n microscope(SEM) 扫描电子显微镜sca nning projecti on alig ner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层半导体术语表第37页共68页scribe line 划片道scribe line mon itor(SLM) 划片线监测scumming 底膜sec ondary electro n 二次电子半导体术语表第38页共68页sec on dary electro n flood 二次电子流sec on dary ion mass spectrometry(SIMS)二次离子质谱(法)seed ' s model SEED模型selective etching 选择性刻蚀selective oxidati on 选择性氧化selectivity 选择性semic on ductor grade silic on 半导体极硅semic on ductor 半导体sensitivity 灵敏度shallow trench isolatio n(STI) 浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity, 方块电阻率shot size胶(点)尺寸shri nking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon n itride(SI3N4)氮化硅silic on on sapphire 蓝宝石伤硅silicon on in sulator(SOI) 绝缘体上硅silicon tetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅sin gle crystal silic on 单晶硅silylation 硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料si ngle crystal 单晶slip滑移slurry 磨料半导体术语表第39页共68页SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solve nt 溶剂SOS蓝宝石上硅Source 源source drain impla nts 源漏注入spacer n.取间隔的装置,逆电流器spatial cohere nee 空间相干spatial sig nature an alysis 空间信号分析specialty gase 特种气体species 种类specific gravity 上匕重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪sp in coati ng 光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric ( SOD)旋转介质法spin-on-glass ( SOG)旋转玻璃法spray clea ning 喷雾清洗spray rin ser 喷雾清洗槽spreadi ng resista nce probe 扩散电阻探测sputter n.喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputteri ng 溅射sputter etch 溅射刻蚀sputtered alum inum 溅射铝半导体术语表第40页共68页sputteri ng yield 溅射产额SSI小规模集成电路stacki ng fault层积缺陷,堆垛层错sta ndard clea n 1(SC-1) 1 号清洗液sta ndard clea n 2(SC-2) 2 号清洗液sta ndard mecha nical in terface(SMIF) 机械标准接口standing wave 驻波static RAM 静态存储器statistical process control ( SPC)统计过程控制step coverage 台阶覆盖step height台阶高度step-a nd-repeat alig ner 分步重复光刻机step-and-scan system 步进扫描光刻机stepper步进光刻机steppi ng motor driver 步进电机驱动器电路stepper步进光刻机stoichiometry 化学计量(配比) staggle投射标准偏差stress应力striati on 条纹strip vt.剥,剥去n. 条,带strippi ng 去胶structure 结构subatmospheric CVD 亚大气压化学气相淀积半导体术语表第41页共68页submicro n 亚微米sub-quarter micron 亚0.25 微米substrate 衬底sublimati on 升华substituti onal atom 替位原子subtract v.(〜from)减去, 减subwaverle ngth lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompressi on bonding 热压键合thermocouple 热电偶thermogravimetric an alysis (TGA) 热重量分析thermoso nic bon di ng 热超声键合thin film 薄膜半导体术语表第42页共68页thin small outli ne package(TSOP) 薄小型圭寸装III-V compou nd 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS仃OF-SIMS) 飞行时间二次离子质谱tita nium silicide 钛硅化合物TLV极限域值top surface imag ing 上表面图形topography 形貌torr 托toxic 有毒track system(also track) 轨道系统tran sie nt enhan ced diffusio n仃ED) 瞬时增强扩散tran sistor 晶体管trench 槽trench capacitor 槽电容trichlorosila ne(TCS or SiHCL3) 三氯氢硅triode pla nar reactor三真空管平面反应室triple well 三阱trivale nt 三价tun gste n(W)钨tun gste n stch back 钨反刻tun gsten hexafluoride(WF6) 六氟化钨tun gste n plug 钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin pla nes(tw inning) 双平面半导体术语表第43页共68页twin-well(twi n-tub) 双阱UULSI甚大规模集成电路ultralow pen etration air(ULPA) 超低穿透空气ultrafiltration 超过滤ultrafi ne particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow jun ctio n 超声键合(压焊)ultraviolet 紫外线undercut 钻蚀un iformity 均匀性unit cell 元包,晶胞un patter ned etchi ng(spripp ing) 无图形刻蚀(剥离)un patter ned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand 真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE) 气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜半导体术语表第44页共68页vaporizati on 气化variable n.[数]变数,可变物,变量adj. 可变的, 不定的,易变的,[数]变量的variable angle spectriscipic ellipsometry(VASE) 可变角度椭偏仪variation n.变更,变化,变异,变种,[音]变奏,变调various adj.不同的,各种各样的,多方面的,多样的vertical furn ace 立式炉via通孑Lviscous flow 粘滞流VLSI超大规模集成电路volatile memory 挥发性存储器volatile 挥发voltage regulator 温压器Wwafer cassette 硅片架wafer charg ing 硅片充电wafer electrical test(WET) 硅片电学测试wafer etch硅片刻蚀wafer flat or notch 硅片定位边或定位凹槽半导体术语表第45页共68页wireb on di ng 引线键合wiri ng 连线withi n-wafer nonun iformity(WIWNU) 片内不均匀性XX-ray X射线X-ray fluoresce nce(XRF) X 射线荧光性半导体术语表第46页共68页X-ray lithography X 射线光刻X-ray photoelectron spectroscopy ( XPS) X 射线光电能谱仪YYield 成品率Yield man agem ent system 成品率管理系统ZZeta potential zeta 电势zone n.地域,地带,地区,环带,圈vt.环绕,使分成地带vi.分成区半导体术语表第47页共68页10. Ammonium fluoride : NH4F11. Ammonium hydroxide : NH4OH12. Amorphous silicon : a -Si,非晶硅(不是多晶硅)13. An alog :模拟的14. Angstrom : A (1E-10m)埃15. An isotropic :各向异性(如POLY ETCH16. AQL(Accepta nce Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质量标准 (不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(A ntireflective coat in g) :抗反射层(用于METAL等层的光刻)18. An tim on y(Sb)锑19. Argon (Ar)氩20. Arse nic(As) 砷21. Arsen ic trioxide(As2O3) 三氧化二砷22. Arsi ne(AsH3)23. Asher :去胶机24. Aspect ration :形貌比(ETCH中的深度、宽度比)25. Autodopi ng :自搀杂(外延时SUB的浓度高,导致有杂质半导体术语表第48页共68页蒸发到环境中后,又回掺到外延层)26. Back end :后段(CONTACT S后、PCM测试前)27. Baseli ne :标准流程28. Benchmark :基准29. Bipolar :双极30. Boat :扩散用(石英)舟31. CD : ( Critical Dimension )临界(关键)尺寸。

半导体行业专业英语名词解释

半导体行业专业英语名词解释
过滤
70
(FITFAILURE IN TIME)
71
FOUNDRY
客户委托加工
72
FOUR POINT PROBE
四点侦测
73
FINESONIC CLEAN(F/S)
超音波清洗
74
FTIR
傅氏转换红外线光谱分析仪
75
FTY(FINAL TEST YIELD)
76
FUKE DEFECT
77
GATE OXIDE
缺陷分析软件
157
SEM ELECTRON(SCANNING
MICROSCOPE)
电子显微镜
158
SELECTIVITY
选择性.
159
SILICIDE
硅化物
160
SILICIDE
金属硅化物
161
SILICON

162
SILICON NITRIDE
氯化硅
163
SMS (SEMICODUCTOR MANUFACTURING
生产周期时间
41
DEFECT DENSITY
缺点密度
42
DEHYDRATION BAKE
去水烘烤.
43
DENSIFY
密化
44
DESCUM
电浆预处理
45
DESIGN RULE
设计规范
46
EDSIGN RULE
设计准则
47
DIE BY DIE ALIGNMENT
每FIELD均对准
48
DIFFUSION
扩散
光罩护膜
130
PELLICLE
光罩保护膜
131
PH3

半导体相关英语术语

半导体相关英语术语

半导体相关英语术语半导体领域是电子学和电路设计的重要组成部分。

本文将介绍半导体领域中一些常见的英语术语。

1. Semiconductor(半导体)Semiconductors are materials that have electrical conductivity between conductors and insulators. They have a property of conductivity that lies between that of a conductor and an insulator. Common semiconducting materials include silicon, germanium, and gallium arsenide.2. Integrated Circuit (IC)(集成电路)An integrated circuit, or IC, is a miniaturized electronic circuit consisting of semiconductor devices, such as transistors, diodes, and resistors, as well as passive components, such as capacitors and inductors, interconnected on a single semiconductor substrate or chip.3. Transistor(晶体管)A transistor is a semiconductor device that controls the flow of current or amplifies signals. It is made up of three layers of semiconductor material, typically doped with impurities to create either N-type or P-type regions. Transistors are the building blocks of modern electronic devices and can be found in almost all electronic circuits.4. Diode(二极管)A diode is a two-terminal electronic component that allows current to flow in only one direction. It has a P-N junction formed by connecting a P-type semiconductor and an N-type semiconductor. Diodes are commonly used in rectifying circuits, voltage regulators, and signal demodulation.5. Field-Effect Transistor (FET)(场效应晶体管)A field-effect transistor, or FET, is a type of transistor that uses an electric field to control the flow of current. It has three terminals: the source, the gate, and the drain. FETs are widely used in digital circuits, as well as in analog applications such as amplifiers.6. Analog-to-Digital Converter (ADC)(模数转换器)An analog-to-digital converter, or ADC, is a device that converts analog signals into digital signals. It is commonly used in communication systems, measurement instruments, and digital audio applications to convert continuous analog signals into discrete digital representations.7. Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET)(金属氧化物半导体场效应晶体管)A metal-oxide-semiconductor field-effect transistor, or MOSFET, is a type of transistor that uses a metal gate electrode to control the flow of current. It is widely used in digital integrated circuits and offers advantages such as low power consumption and high switching speeds.8. Bandgap(能隙)Bandgap is the energy range in a solid material where no electron states can exist. It represents the minimum energy required to excite an electron from the valence band to the conduction band. The bandgap determines the electrical and optical properties of a semiconductor material.9. Photovoltaic (PV) Cell(光伏电池)A photovoltaic cell, or PV cell, is a device that converts sunlight directly into electricity by the photovoltaic effect. It is made up of semiconductor materials that absorb photons and generate a voltage difference across its terminals. PV cells are used in solar panels to generate renewable energy.10. Electromigration(电迁移)Electromigration is the phenomenon in which metal atoms in a conductor migrate under the influence of high current density. This can lead to the formation of voids and eventual failure of the conductor. Electromigration is a significant reliability issue in integrated circuits and is mitigated through proper design and fabrication techniques.以上是一些常见的半导体领域英语术语,了解这些术语有助于更好地学习和理解半导体电子学和电路设计的知识。

半导体专业术语英语..

半导体专业术语英语..

半导体专业术语英语半导体是当今最重要的技术领域之一。

随着半导体技术的不断发展,半导体专业术语英语越来越重要。

在本文中,我们将介绍一些常见的半导体专业术语英语,帮助读者更好地理解和掌握半导体技术。

基本概念1.Semiconductor:半导体2.Doping:掺杂3.Carrier:载流子4.Hole:空穴5.Electron:电子6.Bandgap:能隙7.Mobility:迁移率8.Resistivity:电阻率9.Conductivity:电导率10.PN Junction:PN结11.Schottky Junction:肖特基结半导体晶体结构1.Crystal:晶体ttice:晶格3.Unit Cell:单元胞4.Wafer:晶片5.Silicon Wafer:硅晶片6.Epitaxy:外延7.Deposition:沉积8.Etch:蚀刻9.Annealing:退火典型器件1.Transistor:晶体管2.Diode:二极管3.Capacitor:电容器4.Resistor:电阻器5.Inductor:电感器6.MOSFET:MOS场效应晶体管7.BJT:双极性晶体管8.LED:发光二极管9.IGBT:绝缘栅双极晶体管10.SCR:可控硅制程工艺1.Lithography:光刻2.Ion Implantation:离子注入3.Chemical Vapor Deposition (CVD):化学气相沉积4.Physical Vapor Deposition (PVD):物理气相沉积5.Wet Etch:湿法蚀刻6.Dry Etch:干法蚀刻7.Annealing:退火8.Configurations:构型9.Metrology:计量学10.Yield:良率11.Process Integration:制程集成半导体技术对现代社会的影响越来越大,而英语是半导体专业中的重要工具之一。

学习和掌握半导体专业术语英语,有助于提高在半导体行业的各种交流和合作能力。

半导体专业术语(中英对照)

半导体专业术语(中英对照)
Recipe: 程式 PM(Prevention Maintenance): 预防保养 Alarm :警讯 OI (Operation Instruction) :规定的标准的正确操作机台的方法的文件
1
ppt课件
1
2
ppt课件
2
3
ppt课件
34ppt课件45ppt课件
5
6
ppt课件
6
7
ppt课件
7
8
ppt课件
8
此课件下载可自行编辑修改,此课件供参考! 部分内容来源于网络,如有侵权请与我联系删除!感谢你的观看!

感 谢 阅 读
感 谢 阅 读
半导体专业词汇汇总
2023最新整理收集 do something
Semiconductor:半导体
MFG (Manufacture):制造部
Wafer :晶片
Boule:晶锭
Ingot:晶棒
As cut wafer:毛片
Particle:含尘量/微尘粒子 Pod :晶盒 Cassette: 晶片夹 Clean Room:洁净室(Class 100000 以上) MO( Miss Operation):误操作 Process Engineering:制程工程师,简称为P.E.简单称为制程。 Equipment Engineering:设备工程师,简称为E.E.简单称为设备。

半导体专业词汇

半导体专业词汇

1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体行业英语专业术语

半导体行业英语专业术语

半导体行业英语专业术语1.Angle of incidence:入射角。

2.Dielectric:介电质。

3.Epitaxial Growth:外延生长。

4.Junction:结。

5.MOS transistor:MOS晶体管。

6.Lithography:光刻。

7.Photoresist:光刻胶。

8.Picking:取片。

9.Reflow soldering:热风焊接。

10.Deposition:沉积。

11.Diffusion:扩散。

12.Doping:掺杂。

13.Epitaxy:外延。

14.Furnace:炉。

15.Gate oxide:栅极氧化层。

16.Grinding:研磨。

17.Ion Implantation:离子注入。

18.Polishing:抛光。

19.Substrate:基底。

20.Chip:芯片。

21.Wafer:晶圆。

22.Yield:良率。

23.Masking:掩模。

24.Electrical Characterization:电性测试。

25.Suitability Test:可靠性测试。

26.Failure Analysis:失效分析。

27.Annealing:退火。

28.Threshold Voltage:阈值电压。

29.Voltage Transfer Curve:电压传递曲线。

30.Contact Resistance:接触电阻。

31.Electromigration:电迁移。

32.Inspection:检验。

33.CMP:表面处理。

34.CVD:化学气相沉积。

35.Metallization:金属化。

36.Microscopy:显微镜。

37.Ohmic Contact:正性接触。

38.Oxidation:氧化。

39.PECVD:电演化学气相沉积。

40.Photolithography:光刻工艺。

41.Sputtering:溅射。

42.Thermal Oxidation:热氧化。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

半导体行业的英文单词和术语A安全地线safe ground wire安全特性security feature安装线hook-up wire按半周进行的多周期控制multicycle controlled by half-cycle按键电话机push-button telephone set按需分配多地址demand assignment multiple access(DAMA)按要求的电信业务demand telecommunication service按组编码encode by groupB八木天线Yagi antenna白噪声white Gaussian noise白噪声发生器white noise generator半波偶极子halfwave dipole半导体存储器semiconductor memory半导体集成电路semiconductor integrated circuit半双工操作semi-duplex operation半字节Nib包络负反馈peak envelop negative feed-back包络延时失真envelop delay distortion薄膜thin film薄膜混合集成电路thin film hybrid integrated circuit保护比(射频)protection ratio (RF)保护时段guard period保密通信secure communication报头header报文分组packet报文优先等级message priority报讯alarm备用工作方式spare mode背景躁声background noise倍频frequency multiplication倍频程actave倍频程滤波器octave filter被呼地址修改通知called address modified notification被呼用户优先priority for called subscriber本地PLMN local PLMN本地交换机local exchange本地移动用户身份local mobile station identity ( LMSI)本地震荡器local oscillator比功率(功率密度) specific power比特bit比特并行bit parallel比特号码bit number (BN)比特流bit stream比特率bit rate比特误码率bit error rate比特序列独立性bit sequence independence必要带宽necessary bandwidth闭环电压增益closed loop voltage gain闭环控制closed loop control闭路电压closed circuit voltage边瓣抑制side lobe suppression边带sideband边带非线性串扰sideband non-linear crosstalk边带线性串扰sideband linear crosstalk边带抑制度sideband suppression边角辐射boundary radiation编号制度numbering plan编解码器codec编码encode编码律encoding law编码器encoder编码器输出encoder output编码器总工作时间encoder overall operate time编码效率coding efficiency编码信号coded signal编码约束长度encoding constraint length编码增益coding gain编译程序compiler鞭状天线whip antenna变频器converter变频损耗converter conversion loss变容二极管variable capacitance diode变形交替传号反转modified alternate mark inversion便携电台portable station便携设备portable equipment便携式载体设备portable vehicle equipment标称调整率(标称塞入率)nominal justification rate (nominal stuffing rate) 标称值nominal value标称呼通概率nominal calling probability标准码实验信号standard code test signal (SCTS)标准模拟天线standard artificial antenna标准频率standard frequency标准时间信号发射standard-time-signal emission标准实验调制standard test modulation标准输出功率standard power output标准输入信号standard input signal标准输入信号电平standard input-signal level标准输入信号频率standard input-signal frequency标准信躁比standard signal to noise表面安装surface mounting表示层presentation layer并串变换器parallel-serial converter (serializer)并馈垂直天线shunt-fed vertical antenna并行传输parallel transmission并行终端parallel terminal拨号错误概率dialing mistake probability拨号后延迟post-dialing delay拨号交换机dial exchange拨号线路dial-up line拨号音dialing tone拨号终端dial-up terminal波动强度(在给定方向上的)cymomotive force (c. m. f)波段覆盖wave coverage波峰焊wave soldering波特baud泊送过程Poisson process补充业务supplementary service (of GSM)补充业务登记supplementary service registration补充业务询问supplementary service interrogation补充业务互连supplementary service interworking捕捉区(一个地面接收台)capture area (of a terrestrial receiving station) 捕捉带pull-in range捕捉带宽pull-in banwidth捕捉时间pull-in time不连续发送discontinuous transmission (DTX)不连续干扰discontinuous interference不连续接收discontinuous reception (DRX)不确定度uncertainty步谈机portable mobile stationC采样定理sampling theorem采样频率sampling frequency采样周期sampling period参考边带功率reference side band power参考差错率reference error ratio参考当量reference equivalent参考点reference point参考结构reference configuration参考可用场强reference usable fiend-strength参考灵敏度reference sensibility参考频率reference frequency参考时钟reference clock参考输出功率reference output power残余边带调制vestigial sideband modulation残余边带发射vestigial-sideband emission操作维护中心operation maintenance center (OMC)操作系统operation system (OS)侧音消耗sidetone loss层2转发layer 2 relay (L2R)插入组装through hole pachnology插入损耗insertion loss查号台information desk差错控制编码error control coding差错漏检率residual error rate差分脉冲编码调制(差分脉码调制)differential pulse code modulation (DPCM) 差分四相相移键控differential quadrature phase keying (DQPSK)差分相移键控differential phase keying (DPSK)差模电压,平衡电压differential mode voltage, symmetrical voltage差拍干扰beat jamming差频失真difference frequency distortion长期抖动指示器long-term flicker indicator长期频率稳定度long-term frequency stability场强灵敏度field intensity sensibility场效应晶体管field effect transistor (FET)超长波通信myriametric wave communication超地平对流层传播transhorizon tropospheric超地平无线接力系统transhorizon radio-relay system超高帧hyperframe超帧superframe超大规模集成电路very-large scale integrated circuit (VLSI)超再生接收机super-regenerator receiver车载电台vehicle station撤消withdrawal成对不等性码(交替码、交变码)paired-disparity code (alternative code, alternating code)承载业务bearer service城市交通管制系统urban traffic control system程序设计技术programming technique程序设计环境programming environment程序优化program optimization程序指令program command充电charge充电率charge rate充电效率charge efficiency充电终止电压end-of charge voltage抽样sampling抽样率sample rate初级分布线路primary distribution link初始化initialization处理增益processing gain传播时延propagation delay传播系数propagation coefficient传导干扰conducted interference传导杂散发射conducted spurious emission传递函数transfer function传递时间transfer time传声器microphone传输保密transmission security传输层协议transport layer protocol传输集群transmission trunking传输结束字符end of transmission character传输媒体transmission medium传输损耗transmission loss传输损耗(无线线路的)transmission loss (of a radio link)传输通道transmission path传输信道transmission channel传真facsimile, FAX船舶地球站ship earth station船舶电台ship station船舶移动业务ship movement service船上通信电台on-board communication station ,ship communication station 船用收音机ship radio串并变换机serial to parallel (deserializer)串并行变换serial-parallel conversion串话crosstalk垂直方向性图vertical directivity pattern唇式传声器lip microphone磁屏蔽magnetic shielding次级分布线路secondary distribution link猝发差错burst error猝发点火控制burst firing control存储程序控制交换机stored program controlled switching systemD大规模集成电路large scale integrated circuit (LSI)大信号信躁比signal-to-noise ratio of strong signal带成功结果的常规操作normal operation with successful outcome 带宽bandwidth带内导频单边带pilot tone-in-band single sideband带内谐波in-band harmonic带内信令in-band signalling带内躁声in-band noise带通滤波器band-pass filter带外发射out-of-band emission带外功率out-of-band power带外衰减attenuation outside a channel带外信令out-band signalling带状线stripline单边带发射single sideband (SSB) emission单边带发射机single side-band (SSB) transmitter单边带调制single side band modulation单边带解调single side band demodulation单边带信号发生器single side band signal generaltor单端同步single-ended synchronization单工、双半工simplex, halfduplex单工操作simplex operation单工无线电话机simplex radio telephone单呼single call单频双工single frequency duplex单频信令single frequency signalling单相对称控制symmetrical control (single phase)单相非对称控制asymmetrical control (single phase)单向one-way单向的unidirectional单向控制unidirectional control单信道地面和机载无线电分系统SINCGARS单信道无绳电话机single channel cordless telephone单信号方法single-signal method单音tone单音脉冲tone pulse单音脉冲持续时间tone pulse duration单音脉冲的单音频率tone frequency of tone pulse单音脉冲上升时间tone pulse rise time单音脉冲下降时间tone pulse decay time单音制individual tone system单元电缆段(中继段)elementary cable section (repeater section) 单元再生段elementary regenerator section (regenerator section)单元增音段,单元中继段elementary repeater section当被呼移动用户不回答时的呼叫转移call forwarding on no reply (CFNRy)当被呼移动用户忙时的呼叫转calling forwarding on mobile subscriber busy (CFB)当漫游到原籍PLMN国家以外时禁止所有入呼barring of incoming calls when roaming outside the home PLMN country (BIC-Roam)当前服务的基站current serving BS当无线信道拥挤时的呼叫转移calling forward on mobile subscriber not reachable (CENRc)刀型天线blade antenna导频pilot frequency导频跌落pilot fall down倒L型天线inverted-L antenna等步的isochronous等幅电报continuous wave telegraph等权网(互同步网)democratic network (mutually synchronized network)等效比特率equivalent bit rate等效地球半径equivalent earth radius等效二进制数equivalent binary content等效全向辐射功率equivalent isotropically radiated power (e. i. r. p.)等效卫星线路躁声温度equivalent satellite link noise temperature低轨道卫星系统LEO satellite mobile communication system低气压实验low atmospheric pressure test低时延码激励线性预测编码low delay CELP (LD-CELP)低通滤波器low pass filter低温实验low temperature test低躁声放大器low noise amplifier地-空路径传播earth-space path propagation地-空通信设备ground/air communication equipment地波ground wave地面连线用户land line subscriber地面无线电通信terrestrial radio communication地面站(电台)terrestrial station第N次谐波比nth harmonic ratio第二代无绳电话系统cordless telephone system second generation (CT-2)第三代移动通信系统third generation mobile systems点波束天线spot beam antenna点对地区通信point-area communication点对点通信point-point communication点至点的GSM PLMN连接point to point GSM PLMN电报telegraphy电报电码telegraph code电波衰落radio wave fading电池功率power of battery电池能量energy capacity of battery电池容量battery capacity电池组battery电磁波electromagnetic wave电磁波反射reflection of electromagnetic wave电磁波饶射diffraction of electromagnetic wave电磁波散射scattering of electromagnetic wave电磁波色射dispersion of electromagnetic wave电磁波吸收absorption of electromagnetic wave电磁波折射refraction of electromagnetic wave电磁场electromagnetic field电磁发射electromagnetic field电磁辐射electromagnetic emission电磁干扰electromagnetic interference (EMI)电磁感应electromagnetic induction电磁环境electromagnetic environment电磁兼容性electromagnetic compatibility (EMC)电磁兼容性电平electromagnetic compatibility level 电磁兼容性余量electromagnetic compatibility margin 电磁脉冲electromagnetic pulse (EMP)电磁脉冲干扰electromagnetic pulse jamming电磁敏感度electromagnetic susceptibility电磁能electromagnetic energy电磁耦合electromagnetic coupling电磁屏蔽electromagnetic shielding电磁屏蔽装置electromagnetic screen电磁骚扰electromagnetic disturbance电磁噪声electromagnetic noise电磁污染electromagnetic pollution电动势electromotive force (e. m. f.)电话机telephone set电话局容量capacity of telephone exchange电话型电路telephone-type circuit电话型信道telephone-type channel电离层ionosphere电离层波ionosphere wave电离层传播ionosphere propagation电离层反射ionosphere reflection电离层反射传播ionosphere reflection propagation电离层散射传播ionosphere scatter propagation电离层折射ionosphere refraction电离层吸收ionosphere absorption电离层骚扰ionosphere disturbance电流探头current probe电屏蔽electric shielding电视电话video-telephone, viewphone, visual telephone电台磁方位magnetic bearing of station电台方位bearing of station电台航向heading of station电文编号message numbering电文队列message queue电文格式message format电文交换message switching电文交换网络message switching network电文结束代码end-of-message code电文路由选择message routing电小天线electronically small antenna电信管理网络telecommunication management network (TMN)电信会议teleconferencing电压变化voltage change电压变化持续时间duration of a voltage change电压变化的发生率rate of occurrence of voltage changes电压变化时间间隔voltage change interval电压波动voltage fluctuation电压波动波形voltage fluctuation waveform电压波动量magnitude of a voltage fluctuation电压不平衡voltage imbalance, voltage unbalance电压浪涌voltage surge电压骤降voltage dip电源power supply电源电压调整率line regulation电源抗扰性mains immunity电源持续工作能力continuous operation ability of the power supply 电源去耦系数mains decoupling factor电源骚扰mains disturbance电子干扰electronic jamming电子工业协会Electronic Industries Association (EIA)电子系统工程electronic system engineering电子自动调谐electronic automatic tuning电子组装electronic packaging电阻温度计resistance thermometer跌落试验fall down test顶部加载垂直天线top-loaded vertical antenna定长编码block code定期频率预报periodical frequency forecast定时clocking定时超前timing advance定时恢复(定时抽取)timing recovery (timing extration)定时截尾试验fixed time test定时信号timing signal定数截尾试验fixed failure number test定向天线directional antenna定型试验type test动态频率分配dynamic frequency allocation动态信道分配dynamic channel allocation动态重组dynamic regrouping动态自动增益控制特性dynamic AGC characteristic抖动jitter独立边带independent sideband独立故障independent fault端到端业务teleservice短波传播short wave propagation短波通信short wave communication短路保护short-circuit protection短期抖动指示器short-term flicker indicator短期频率稳定度short-term frequency stability短时间中断(供电电压)short interruption (of supply voltage)段终端section termination对称二元码symmetrical binary code对地静止卫星geostationary satellite对地静止卫星轨道geostationary satellite orbit对地同步卫星geosynchronous satellite对讲电话机intercommunicating telephone set对空台aeronautical station对流层troposphere对流层波道troposphere duct对流层传播troposphere propagation对流层散射传播troposphere scatter propagation多次调制multiple modulation多点接入multipoint access多电平正交调幅multi-level quadrature amplitude modulation (QAM) 多分转站网multidrop network多服务器队列multiserver queue多工multiplexing多工器nultiplexer多功能系统MRS多级处理multilevel processing多级互连网络multistage interconnecting network多级卫星线路multi-satellite link多径multipath多径传播multipath propagation多径传播函数nultipath propagation function多径分集multipath diversity多径时延multipath delay多径衰落multipath fading多径效应multipath effect多路复接multiplexing多路接入multiple access多路信道multiplexor channel多脉冲线性预测编码multi-pulse LPC (MPLC)多频信令multifrequency signalling多普勒频移Doppler shift多跳路径multihop path多信道选取multichannel access (MCA)多信道自动拨号移动通信系统multiple-channel mobile communication system with automatic dialing 多优先级multiple priority levels多帧multiframe多址呼叫multiaddress call多址联接multiple access多重时帧multiple timeframe多用户信道multi-user channelE额定带宽rated bandwidth额定射频输出功率rated radio frequency output power额定使用范围rated operating range额定音频输出功率rated audio-frequency output power额定值rated value爱尔兰erlang恶意呼叫识别malicious call identification (MCI)耳机(受话器)earphone耳机额定阻抗rated impedance of earphone二十进制码binary-coded decimal (BCD) code二十进制转换binary-to-decimal conversion二十六进制转换binary-to-hexadecimal conversion二进制码binary code二进制频移键控binary frequency shift keying (BFSK)二进制数binary figure二频制位binary digit(bit)二频制two-frequency system二维奇偶验码horizontal and vertical parity check code二线制two-wire system二相差分相移键控binary different phase shift keying (BDPSK)二相相移键控binary phase shift keying (BPSK)F发报机telegraph transmitter发射emisssion发射(或信号)带宽bandwidth of an emission (or a signal)发射机transmitter发射机边带频谱transmitter sideband spectrum发射机额定输出功率rated output power of transmitter发射机合路器transmitter combiner发射机冷却系统cooling system of transmitter发射机启动时间transmitter attack time发射机效率transmitter frequency发射机杂散躁声spurious transmitter noise发射机之间的互调iner-transmitter intermodulation发射机对答允许频(相)偏transmitter maximum permissible frequency(phase) deviation 发射类别class of emission发射频段transmit frequency band发射余量emission margin发送sending发送响度评定值send loudness rating (SLR)繁忙排队/自动回叫busy queuing/ callback反馈控制系统feedback control system反射功率reflection power反射卫星reflection satellite反向话音通道reverse voice channel (RVC)反向控制信道reverse control channel (RECC)泛欧数字无绳电话系统digital European cordless telephone方舱shelter方向性系数directivity of an antenna防爆电话机explosion-proof telephone set防潮moisture protection防腐蚀corrosion protection防霉mould proof仿真头artificial head仿真耳artificial ear仿真嘴artificial mouth仿真天线dummy antenna放大器amplifier放大器线性动态范围linear dynamic range of amplifier放电discharge放电电压discharge voltage放电深度depth of discharge放电率discharge rate放电特性曲线discharge character curve非等步的anisochronous非归零码nonreturn to zero code (NRZ)非均匀编码nonuniform encoding非均匀量化nonuniform quantizing非连续干扰discontinuous disturbance“非”门NOT gate非强占优先规则non-preemptive priority queuing discipline非受控滑动uncontrolled slip非线性电路nonlinear circuit非线性失真nonliear distortion非线性数字调制nonlinear digital modulation非占空呼叫建立off-air-call-set-up (OACSU)非专用控制信道non-dedicated control channel非阻塞互连网络non-blocking interconnection network分贝decibel (dB)分辨力resolution分布参数网络distributed parameter network分布式功能distributed function分布式数据库distributed database分别于是微波通信系统distributed microwave communication system 分布式移动通信系统distributed mobile communication system分布路线distribution link分段加载天线sectional loaded antenna分机extension分集diversity分集改善系数diversity improvement factor分集间隔diversity separation分集增益diversity gain分集接收diversity reception分接器demultiplexer分频frequency division分散定位distributed chann。

相关文档
最新文档