EDA技术课程设计报告doc

合集下载

eda课程设计5篇[修改版]

eda课程设计5篇[修改版]

第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。

2、具有清零、校时、校分功能。

3、具有整点蜂鸣器报时以及LED花样显示功能。

二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。

三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。

EDA课程设计报告--四位加法器设计

EDA课程设计报告--四位加法器设计

《EDA》课程设计题目:四位加法器设计学号: 200906024245姓名:梁晓群班级:机自094指导老师:韩晓燕2011年12月28日—2011年12月30日目录摘要----------------------------------3EDA简介---------------------------3概述----------------------------------4 1.1目的与要求-------------------4 1.2实验前预习-------------------41.3设计环境----------------------5四位全加器的设计过程----------52.1 半加器的设计-----------------62.2一位全加器的设计-----------92.3四位全加器的设计----------11收获与心得体会----------------13摘要本文主要介绍了关于EDA技术的基本概念及应用,EDA设计使用的软件Quartus7.2的基本操作及使用方法,以及半加器、1位全加器和四位全加器的设计及仿真过程。

EDA简介EDA的概念EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作.EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。

EDA 课程设计

EDA 课程设计

第一章绪论1.1 EDA技术的简介EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

1.1.1 EDA的软件介绍EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。

这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

1.1.2 EDA技术的基本特征EDA代表了当今电子设计技术的最新发展方向。

它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分。

系统的关键电路用一片或几片专用集成电路(ASIC,ApplicationSpecificIntegratedCircnit)实现,然后采用硬件描述语言(HDlHardwareDescriptionLanguage)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。

1.1.3 EDA的应用EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。

主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。

EDA课程设计报告

EDA课程设计报告

EDA课程设计报告实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。

net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。

(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。

(4)了解掌握单片机芯片的烧写方法与步骤。

(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。

(6)掌握利用protel 99 se绘制电路原理图及pcb图。

(7)了解pcb板的制作腐蚀过程。

二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。

net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。

(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。

(6)制板。

首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb 板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。

(7)焊接。

将所给元器件根据原理图一一焊至pcb板相应位置。

(8)调试。

先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。

(流水灯控制器原理图)四、流水灯控制器程序的主程序:org 0000hsjmp startorg 0030hstart:mov a,0ffhmov r0,1chmov r2,12hclr cloop1:acall delaydjnz r0,loop,尽在。

eda技术实训课程设计

eda技术实训课程设计

eda技术实训课程设计一、课程目标知识目标:1. 理解EDA技术的基本概念、原理及其在电子设计中的应用;2. 掌握EDA工具的使用方法,如原理图绘制、印制电路板(PCB)设计等;3. 学会利用EDA技术进行简单电路系统的设计、仿真与验证;4. 了解EDA技术的发展趋势及其在现代电子工程领域的地位和作用。

技能目标:1. 能够使用EDA工具完成原理图绘制、PCB布线等基本设计任务;2. 培养学生运用EDA技术解决实际电子工程问题的能力;3. 提高学生的团队协作能力和沟通能力,通过项目实训,掌握项目管理和时间规划技巧;4. 培养学生独立思考和创新能力,能够针对特定需求提出电子设计方案。

情感态度价值观目标:1. 培养学生对电子设计的兴趣,激发学习热情,树立良好的学习态度;2. 增强学生的实践操作能力,培养勇于尝试、善于克服困难的品质;3. 培养学生的创新意识和团队精神,提高职业素养,为未来从事电子工程设计奠定基础;4. 强化学生的环保意识,认识到电子设计在环保方面的重要性,培养绿色设计理念。

本课程针对高年级电子工程及相关专业学生,结合课程性质、学生特点和教学要求,将目标分解为具体的学习成果。

通过本课程的学习,使学生掌握EDA技术的基本知识,具备实际电子工程设计能力,为未来从事相关工作打下坚实基础。

同时,培养学生积极的学习态度、团队协作精神和创新能力,提高职业素养。

二、教学内容1. EDA技术概述- EDA技术发展历程- EDA技术的基本概念与分类- EDA技术在现代电子工程领域的应用2. EDA工具介绍- 常用EDA工具软件特点及功能对比- EDA工具的基本操作与使用方法- EDA工具在实际电子设计中的应用案例3. 原理图绘制- 电路原理图的基本元素与绘制方法- 元器件库的创建与管理- 原理图的层次化设计方法4. 印制电路板(PCB)设计- PCB设计的基本流程与方法- PCB布局、布线原则与技巧- PCB设计中的信号完整性分析5. 电路仿真与验证- 仿真软件的基本使用方法- 电路仿真模型的建立与参数设置- 仿真结果的分析与验证6. 项目实训- 项目需求分析- 项目设计、仿真与验证- 项目总结与汇报教学内容按照教学大纲安排,结合课本章节进行组织,确保科学性和系统性。

eda课程设计报告

eda课程设计报告

eda课程设计报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。

2. 学生能运用EDA软件进行电路设计与仿真,理解并掌握数字电路的设计原理。

3. 学生了解并掌握基础的硬件描述语言(如VHDL/Verilog),能完成简单的数字系统设计。

技能目标:1. 学生通过EDA软件的操作,培养电子电路设计、仿真与验证的实际操作能力。

2. 学生通过小组合作完成设计项目,提高团队协作与沟通技巧。

3. 学生能够运用所学知识解决实际问题,具备一定的创新意识和动手能力。

情感态度价值观目标:1. 学生在EDA课程学习中,培养对电子科学技术的兴趣和探究精神。

2. 学生通过课程实践,增强自信心和成就感,激发进一步学习的动力。

3. 学生在学习过程中,树立正确的工程伦理观念,认识到技术发展对社会的责任和影响。

课程性质:本课程为电子信息工程及相关专业高年级学生的专业核心课程,旨在通过理论与实践相结合的教学,提高学生的电子设计能力。

学生特点:学生已具备一定的电子技术基础,具有较强的学习能力和实践欲望,对新技术和新工具充满好奇心。

教学要求:结合学生特点,注重培养实际操作能力,鼓励学生创新思维,提高解决实际问题的能力。

通过课程目标分解,确保学生在知识、技能和情感态度价值观方面的全面成长。

后续教学设计和评估将以此为基础,关注学生的学习成果。

二、教学内容根据课程目标,教学内容分为以下三个模块:1. EDA基本概念与工具使用- 教材章节:第一章 EDA技术概述,第二章 EDA工具简介- 内容列举:EDA发展历程,常用EDA软件介绍,软件安装与配置,基本操作流程。

2. 数字电路设计与仿真- 教材章节:第三章 数字电路设计基础,第四章 仿真技术- 内容列举:数字电路设计原理,EDA软件电路设计流程,仿真参数设置,波形分析与验证。

3. 硬件描述语言与数字系统设计- 教材章节:第五章 硬件描述语言,第六章 数字系统设计实例- 内容列举:硬件描述语言基础,VHDL/Verilog语法要点,简单数字系统设计方法,设计实例分析与实操。

eda最简单的课程设计

eda最简单的课程设计

eda最简单的课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解其在现代电子设计领域的重要性。

2. 使学生了解并能够描述EDA工具的基本功能,如电路图绘制、电路仿真、PCB设计等。

3. 帮助学生理解并掌握简单电路的EDA设计流程。

技能目标:1. 培养学生运用EDA软件进行电路图绘制和电路仿真的能力。

2. 培养学生通过EDA工具设计简单的PCB布线图,并能进行基本的质量检查。

3. 培养学生具备团队协作和沟通能力,能够就设计过程中遇到的问题进行有效讨论。

情感态度价值观目标:1. 培养学生对电子设计领域的兴趣,激发创新意识,提高实践能力。

2. 培养学生具有严谨的科学态度,对待设计任务认真负责,追求卓越。

3. 培养学生具备合作精神,学会尊重他人意见,共同解决问题。

分析课程性质、学生特点和教学要求:本课程为电子技术相关课程,旨在让学生通过实践操作,掌握EDA技术的基本应用。

针对初中年级学生,课程内容需结合学生已有的电子知识,注重启发性和趣味性。

在教学过程中,注重培养学生的实际操作能力和团队协作能力。

二、教学内容1. EDA基本概念介绍:包括EDA的定义、发展历程、主要应用领域。

2. EDA工具功能概述:介绍常见的EDA软件,如Altium Designer、Cadence等,以及它们的主要功能特点。

- 电路图绘制:学习如何使用EDA软件绘制电路原理图。

- 电路仿真:了解仿真原理,学习使用EDA软件进行电路功能仿真。

- PCB设计:学习PCB布线设计的基本概念和方法,掌握简单PCB布线图的绘制。

3. 简单电路的EDA设计流程:以实际案例为引导,让学生了解从电路设计到PCB制作的完整流程。

- 设计任务分析:明确设计任务,分析电路功能需求。

- 电路图绘制与仿真:根据需求,使用EDA软件完成电路图绘制并进行仿真测试。

- PCB布线与制作:将电路图转化为PCB布线图,并进行基本的质量检查。

课程设计--具有四种信号灯的交通灯控制

课程设计--具有四种信号灯的交通灯控制

******大学《EDA技术》课程设计报告设计题目:具有四种信号灯的交通灯控制器专业班级:通信工程学生姓名:*******学号:*********指导教师:*******课程设计成绩:完成日期:20**年**月**日目录1 引言 (2)1.1 设计背景 (2)1.2 VHDL简介 (2)1.3 QUARTUSⅡ简介 (5)2 交通信号灯控制器的设计 (5)2.1 设计目的 (6)2.2 系统计要求 (6)2.3 设计思路 (7)2.4 交通信号灯控制器系统工作流程 (7)3 交通灯控制器的实现 (8)3.1 交通灯控制器的设计原型图 (8)3.2 系统各功能模块的实现 (9)3.2.1 模块shuomaguan (9)3.2.2 模块traffic (9)3.3 原理图 (9)4 交通信号灯控制器系统仿真及分析 (10)4.1 SHUMAGUAN模块仿真波形图 (10)4.2 顶层实体的仿真波形 (10)4.3 TAFFIC模块的仿真波形图 (11)4.4 管脚锁定 (11)5 完成调试后所显示结果的八种情况 (12)6 结论 (15)7 总结与体会 (15)附录 (17)1 SHUMAGUAN的VHDL程序 (17)2 TRAFFIC的VHDL程序 (18)参考文献 (22)11 引言1.1 设计背景随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。

然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。

而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。

因此,开发一套能够社会服务的交通灯控制器将是非常必要的,也是十分及时的。

1.2 VHDL简介语言硬件描述已经有几十年的发展历史,并且在系统的仿真、验和设计、综合等方面得到成功的应用。

eda课程设计报告速度表设计

eda课程设计报告速度表设计

eda课程设计报告速度表设计一、课程目标知识目标:1. 学生能理解速度表的基本原理和设计理念,掌握速度的计算公式。

2. 学生能了解并运用电子设计自动化(EDA)软件进行速度表电路的设计与仿真。

3. 学生掌握速度表在实际应用中的相关技术参数和性能指标。

技能目标:1. 学生能够运用EDA软件进行电路图绘制,具备基本的电路设计能力。

2. 学生能够通过小组合作,进行速度表的设计、搭建与调试,培养实际操作能力。

3. 学生能够运用所学知识解决实际生活中的速度测量问题,提高创新能力。

情感态度价值观目标:1. 学生通过本课程的学习,培养对电子设计自动化技术的兴趣,激发创新精神。

2. 学生在小组合作中,培养团队协作意识,提高沟通与交流能力。

3. 学生能够认识到速度表在交通安全中的作用,增强社会责任感和遵守交通规则的意识。

本课程针对学生年级特点,注重理论与实践相结合,以EDA软件为工具,培养学生电子设计能力。

课程目标具体、可衡量,旨在使学生在掌握基本知识的同时,提高实际操作和创新能力,培养良好的团队协作意识和价值观。

二、教学内容1. 速度表原理及计算公式:讲解速度表的基本工作原理,引导学生掌握速度的计算公式,理解速度与时间、路程的关系。

2. EDA软件应用:介绍EDA软件的基本功能与操作方法,使学生能够运用软件进行电路设计与仿真。

3. 速度表电路设计:依据教材相关章节,指导学生进行速度表电路的绘制,包括传感器、放大器、显示电路等组成部分。

4. 电路搭建与调试:学生分组进行速度表的搭建和调试,掌握电路故障排查方法,提高实际操作能力。

5. 速度表在实际应用中的案例分析:分析速度表在交通安全、运动监测等领域的应用案例,让学生了解速度表的实际意义。

教学内容安排和进度:第一课时:速度表原理及计算公式第二课时:EDA软件应用与电路设计第三课时:速度表电路搭建与调试第四课时:案例分析及总结教学内容与课本紧密关联,注重科学性和系统性。

EDA课程设计

EDA课程设计

EDA课程设计报告题目:(一)设计一个自动计数并译码显示电子工程系2013年5月一、设计要求1.计数器的计数范围为0~999;计数值显示在扫描型4位(七段)数码管上;2.计数器带复位端Rst,从0开始计数。

3.各模块要求用VHDL语言描述。

二、设计思路计数范围为0~999的计数器并在七段显示数码管上显示出来加法计数器的动作,每次时钟脉冲信号CLK的上升沿到来时,计数器会将计数值加1。

作为计数器还应该由一个使能端EN和清零端CLR:当使能端EN为高电平“1”时,计数器开始计数;当使能端EN为低电平“0”时,计数器停止计数;清零端CLR一般由同步清零和异步清零两种,当清零端CLR为高电平“1”时有效;反之无效。

三、成员分工四、源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcdcounter isport( clk, clk1,clr,en : in std_logic; --en:使能端,clr:清零端clk:上升沿计数Co : out std_logic; --进位标志choice : out std_logic_vector( 2 downto 0); --用于选择数码管data : out std_logic_vector(6 downto 0) --7段数码管);end bcdcounter;architecture rtl of bcdcounter issignal q : std_logic_vector(3 downto 0);signal q1 : std_logic_vector(3 downto 0); --个位计数器signal q2 : std_logic_vector(3 downto 0); --十位计数器signal q3 : std_logic_vector(3 downto 0); --百位计数器signal count : std_logic_vector(2 downto 0);beginCo<='1' when (q3= "1001" and q2= "1001" and q1= "1001") else '0'; process (clk, clr, en)beginif clr = '1' then -- clk上升沿到来时计数q1<= "0000"; q2<= "0000"; q3<= "0000";elsif (clk'event and clk = '1') thenif en= '1' thenif(q3= "1001" and q2= "1001" and q1= "1001") thenq1<= "0000"; q2<= "0000"; q3<= "0000";--q1,q2,q3都计数到9,q1,q2,q3都清零elsif (q1="1001" and q2="1001" )thenq1<="0000"; q2<="0000";q3<=q3+1;--q1,q2都计数到9,q1,q2清零,q3加1elsif q1="1001"thenq1<="0000";q2<=q2+1; --q1计数到9,q1清零,q2加1else q1<=q1+1;end if;end if;end if;end process;------------------3进制计数器,用于选择数码管process (clk1,count)beginif (clk1'event and (clk1='1'))thenif (count>"001")thencount<="000";elsecount<=count+1;end if;end if;end process;----------------数码管的选择choice<="001"when count="000"else"010"when count="001"else"100";q<=q1 when count="000"elseq2 when count="001"elseq3;---------------数码管的显示with q selectdata <= "1111110" when "0000", --数码管显示0 "0110000" when "0001", --数码管显示1"1101101" when "0010", --数码管显示2"1111001" when "0011", --数码管显示3"0110011" when "0100", --数码管显示4"1011011" when "0101", --数码管显示5"1011111" when "0110", --数码管显示6"1110000" when "0111", --数码管显示7"1111111" when "1000", --数码管显示8"1111011" when "1001", --数码管显示9"0000000" when others; --数码管不显示end rtl;五、仿真结果六、收获体会课程设计是课程知识综合应用的实践训练,通过这次课程设计,锻炼了我们的思维能力。

EDA实训报告.doc

EDA实训报告.doc

设计报告课程名称在系统编程技术任课教师查长军设计题目电子钟班级11通信1班姓名郭丽丽学号1105021006 日期2014-6-25目录一、题目分析 (1)1、总体方框图: (1)2、设计指标: (1)3、功能要求: (1)二、选择方案 (1)三、细化框图 (2)四、编写应用程序并仿真 (2)1、秒计数器 (2)2、分钟计数器 (3)3、时钟计数器 (4)4、整点报时模块 (4)五、全系统联调,画出整机电路,波形图等 (5)1、数字时钟系统总原理图 (5)2、数字时钟系统波形图仿真 (5)六、硬件测试及说明。

(5)1、各部分引脚图 (6)七、结论 (6)1、实验调试结果分析 (6)八、课程总结 (6)九、参考文献目录 (7)十、附录(源程序) (7)1、小时计数器VHDL语言源程序(底层文件) (7)2、分钟计数器VHDL语言源程序(底层文件) (8)3、秒钟计数器VHDL语言源程序(底层文件) (9)4、整点报时报警模块VHDL语言源程序(底层文件) (10)一、题目分析2、设计指标:(1)时间以 24 小时为一个周期;(2)显示时、分、秒;(3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;(4)计时过程具有报时功能,当时间到达整点进行蜂鸣报时并延时2秒。

3、功能要求:1.时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分 60 进制计数,即从 0 到 59 循环计数,对时 24 进制计数,即从 0 到 23 循环计数。

2.时间设置:手动调节分钟(setfen)、小时(setshi),高定平时有效,可以对分、时进行进位调节,低电平时正常计数。

这样可以对所设计的时钟的时间任意调。

3.清零功能:reset 为复位端,低电平时实现清零功能,高电平时正常计数。

这样可以对所设计的时钟的时间进行清零处理。

4.整点报时功能:当分由 59 进位时,会在整点报时输出端输出高电平,此信号可以通过 LED 点亮检验。

EDA课设报告

EDA课设报告

1.1试用频率分别为f1= 200 Hz、f2= 2000 Hz的两个正弦信号源,合成一调制信号y(t)=5sin(2πf1t)*cos(2πf2t),观察其频谱与输出信号波形。

注意根据信号的频率选择适当的系统采样速率。

仿真电路图结果分析:(上图为时域图,下图为频谱图)。

可以在频谱图上很容易就看出此电路的电路图经由乘法器变换后出现了两个频率,经过计算可以肯定是f0与f1的和频与差频分别为2200HZ与1800HZ。

1.2将一正弦信号与高斯噪声相加后观察输出波形及其频谱。

从小到大改变高斯噪声的功率,重新观察输出波形及其频谱。

仿真电路图0:振幅1V ,频率10Hz ,相位为0°的正弦波 1:高斯噪声,标准方差设为0.1,均值为02:加法器,将图符0和图符1的信号进行相加得到所要的调制信号,加法器相当于传输信道,信号在信道中传输,加入的高斯噪声相当于噪声源采样频率为50000HZ 高斯方差0.1高斯方差1第一张图是输出信号的波形,可看出输出信号是周期为0.1s,振幅为1V的正弦波,但由于加入了噪声源,所以输出的信号不再平滑,出现了波折,并随着高斯噪声功率的增加输出信号曲线出现的波折越大,如第三张图中信号已经很难分辨。

1.3已知DTMF双音频编码器的低组频率为697 Hz,,770 Hz,852 Hz,941Hz,高组频率为1209 Hz,1336 Hz,1477 Hz,1633 Hz,试合成0~9、*、#的双音频,并使用接收器图符中的单声道音频文件(8bit wav)输出,通过计算机的声卡输出声音,与实际电话输出的声音比较。

注意,在输出端应加入一定的增益来放大波形。

仿真电路图1~7全部都是题目中出现的高低频率的组合,每两个为一组通过加法器连接,而后早通过增益将这些加和平率放大,然后通过单声道的输出,为了方便的观察每组的频谱变化故在每个音频输出的后面加上了波形输出,其时域和频域图谱如图所示,虽然选择了其中的一组但还是可以反映出其他组的情况,从频谱上虽然有很多频率分量但还是可以清楚的看出有几个主要的频率,他们就是这段音频的主要组成部分。

EDA课程设计实验报告

EDA课程设计实验报告

EDA课程设计实验报告课程设计报告课程名称数字系统与逻辑设计课题名称 16*16点阵显示专业通信工程班级1181学号 131 7姓名肖浪指导教师乔汇东吴德建7月 2日湖南工程学院课程设计任务书课程名称数字系统与逻辑设计课题 16*16点阵显示专业班级通信工程1181 学生姓名肖浪学号 131 7指导老师乔汇东吴德建任务书下达日期年 6月 23日任务完成日期年7月2日《数字系统与逻辑设计》课程设计任务书一、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常见的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。

二、设计要求1、设计正确,方案合理。

2、程序精炼,结构清晰。

3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。

4、上机演示。

5、有详细的文档。

文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。

三、进度安排第十八周星期一:课题讲解,查阅资料星期二:总体设计,详细设计星期三:编程,上机调试、修改程序星期四:上机调试、完善程序星期五:答辩星期六-星期天:撰写课程设计报告附:课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。

正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。

正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

目录一、课题的主要功能 (3)1.1问题描述 (3)1.2 功能描述 (4)二、课题的功能模块的划分 (4)2.1 系统的总体框图 (4)三、主要功能的实现 (5)3.1 1 8进制加法器设计 (5)3.2 2 16进制计数器设计 (5)3.3 列驱动设计 (5)3.4 字体显示控制器 (5)3.5 顶层文件设计 (5)四、系统调试与仿真 (6)4.1 程序仿真图 (6)4.2 16*16 LED点阵显示引脚分配 (8)4.3 程序运行结果 (9)五、总结与体会 (9)六、附件 (10)七、课程设计评分表 (20)一、课题的主要功能1.1问题描述本实验主要完成汉字字符在LED 上的显示,16*16 扫描LED 点阵的工作原理与8 位扫描数码管类似,只是显示的方式与结果不一样而已。

(完整word版)eda课程设计电梯(3层)

(完整word版)eda课程设计电梯(3层)

目录1 引言 02 EDA技术介绍 (1)2。

1 EDA概述 (1)2.2 MAX+plus II软件简介 (2)3 电梯控制器设计 (2)3.1设计要求 (2)3.2电梯运行规则 (3)3.3电梯整体设计 (3)3。

4端口设计说明 (3)3。

5具体程序设计说明 (4)3。

5.1 库声明部分 (4)3.5.2 实体部分 (4)3.5.3 结构体部分 (5)4 系统仿真 (8)5 硬件测试 (10)6 结论 (11)参考文献 (12)附录 (13)1 引言当今世界,部分地区人口高度密集,人和土地资源短缺的矛盾日益激化.这就注定了必须合理的利用土地去解决人与土地的矛盾。

而兴建高层建筑是其中有效措施之一。

因此能使人们快速便捷地到达目的楼层的电梯就应运而生了。

随着电子技术日薪月异的发展,集成电路从20 世纪60年代的小规模到中规模,再到大规模集成电路,伴随着它的高速发展,EDA技术、CPLD 技术也得到充分发展。

电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过度到在办公室、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化.对于电梯的控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。

电梯的微机化控制主要有以下几种形式:PLC控制,单片机控制,单板机控制,单微机控制,多微机控制,人工智能控制[1].随着EDA技术的快速发展,CPLD/FPGA[2]已广泛应用于电子设计与控制的各个方面。

本设计就是使用一片CPLD/FPGA来实现对电梯的控制的。

三层电梯广泛应用在大型的货运之中。

其使用便捷,货运周期短,效率高成本低,对货运事业具有相当的经济价值。

电梯作为高层建筑物的重要交通工具与人们的工作日益紧密FPGA/CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一.电梯控制器是控制电梯按顾客要求自动上下的装置。

eda课程设计完整

eda课程设计完整

eda课程设计完整一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和应用方法,培养学生进行电子设计的能力和创新意识。

知识目标:使学生了解eda的基本概念、原理和流程,掌握常用的电子设计工具和软件,了解电子设计的基本方法和步骤。

技能目标:培养学生进行电子设计的能力,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证,培养学生解决实际问题的能力。

情感态度价值观目标:培养学生对电子设计的兴趣和热情,使学生认识到电子设计在现代科技中的重要地位和作用,培养学生的创新意识和团队协作精神。

二、教学内容本课程的教学内容主要包括eda的基本概念、原理和应用方法。

1.eda的基本概念和原理:介绍eda的定义、发展和分类,讲解电子设计的基本流程和方法,使学生了解eda工具的作用和重要性。

2.eda的应用方法:讲解常用的eda工具和软件的使用方法,介绍电子设计的基本方法和步骤,使学生能够熟练地运用eda工具进行电子电路的设计、仿真和验证。

3.实例分析:通过具体的实例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。

三、教学方法本课程的教学方法包括讲授法、案例分析法和实验法。

1.讲授法:通过教师的讲解,使学生了解和掌握eda的基本概念、原理和应用方法。

2.案例分析法:通过具体的案例分析,使学生更好地理解和掌握eda的应用方法,培养学生解决实际问题的能力。

3.实验法:通过实验室的实践操作,使学生熟练地掌握eda工具的使用方法,培养学生的动手能力和创新意识。

四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验室设备。

1.教材:选用权威、实用的教材,为学生提供全面、系统的学习资源。

2.参考书:提供相关的参考书籍,丰富学生的学习资料,拓展学生的知识面。

3.多媒体资料:制作精美的多媒体课件,生动形象地展示教学内容,提高学生的学习兴趣和效果。

4.实验室设备:提供完善的实验室设备,让学生能够进行实际的操作练习,提高学生的动手能力和创新意识。

电子设计自动化EDA技术课程设计

电子设计自动化EDA技术课程设计

电子设计自动化EDA技术课程设计一、背景总述电子设计自动化(Electronic Design Automation, EDA)技术指的是利用计算机软、硬件工具以及CAD技术来设计、仿真电子电路、系统,辅助设计工程师在电路、系统设计和验证、PCB设计、印制、生产、测试中快速有效地完成工作。

随着电子技术的迅速发展,EDA技术的重要性日益凸显。

本课程设计旨在让学生了解EDA软件的基本使用方法,并借助EDA工具设计、仿真、验证电路,提高学生的电子设计和EDA技能。

二、课程设计目标1.熟悉EDA软件的基本使用方法;2.了解EDA技术在电路、系统设计和验证、PCB设计、印制、生产、测试等方面的应用;3.了解EDA技术的发展历程、现状、趋势及相关的标准和规范;4.综合运用所学知识和技能,设计、仿真、验证电路。

三、课程大纲及教学安排课程大纲如下:章节内容学时1 EDA技术概述 2章节内容学时2 EDA软件介绍 43 电路设计流程 64 电路仿真技术85 PCB设计流程86 PCB制造流程 67 PCB测试技术 48 EDA技术的应用 69 课程设计实践项目 6教学安排:•第一周:课程介绍,EDA技术概述•第二周:EDA软件介绍1•第三周:EDA软件介绍2•第四周:电路设计流程•第五周:电路设计流程•第六周:电路仿真技术1•第七周:电路仿真技术2•第八周:PCB设计流程1•第九周:PCB设计流程2•第十周:PCB制造流程•第十一周:PCB测试技术•第十二周:EDA技术的应用•第十三周:课程设计实践项目介绍•第十四周:课程设计实践项目报告和演示四、课程设计实践项目本课程设计实践项目要求学生综合运用所学知识和技能,设计、仿真、验证一个电路。

项目步骤如下:1.确定电路类型和功能,选择EDA软件进行电路设计和仿真;2.使用EDA软件进行原理图绘制和电路仿真;3.对仿真结果进行分析和图表绘制,评估电路性能;4.根据仿真结果进行电路设计和优化,再次进行仿真并评估性能;5.根据电路设计结果进行电路板的制作和组装;6.使用测试设备对电路进行测试、分析,调试完善电路;7.撰写实验报告。

EDA技术教程课程设计

EDA技术教程课程设计

EDA技术教程课程设计引言EDA(Electronic Design Automation)是电子设计自动化的缩写,是一种利用计算机技术,使设计工作自动化,从而提高生产效率和降低成本的技术。

EDA技术已经成为了电子设计领域的主要工具。

为了更好地掌握EDA技术,我们进行了一些相关的课程设计。

在这个项目中,我们将介绍EDA技术的基础知识,并通过一些实践案例帮助大家更好地理解和应用EDA技术。

设计目标本次课程设计主要有以下几个目标:1.掌握EDA技术的基础知识和相关工具的使用。

2.通过具体案例,深入理解EDA技术的应用。

3.学会通过EDA技术提高电路设计效率和优化设计质量。

设计内容本次课程设计主要内容如下:1. EDA技术概述介绍EDA技术的概念、历史和发展以及相关的软件工具。

2. 电子设计流程介绍常见的电子设计流程:前端设计、后端设计、物理实现以及验证。

3. 电路元件库和设计规范介绍电路设计中常见的元器件和设计规范。

包括:模拟电路元件、数字电路元件、射频电路元件、半导体器件等。

4. 仿真和验证介绍仿真和验证在电子设计中的重要性和应用。

包括:SPICE仿真、FPGA验证、电路可靠性验证等。

5. 物理实现和制造介绍物理实现和制造中的常用工具和技术。

包括:IC设计流程、PCB设计软件、电路板制造等。

设计案例为了更好地帮助大家理解和应用EDA技术,我们列出一些实践案例。

1. 一个简单的电路设计设计一个简单的有源滤波器电路,采用OPA2333运放为放大器,实现50Hz低通滤波器。

使用SPICE软件进行仿真验证。

2. Verilog HDL设计设计一个简单的ALU,在Quartus II软件中进行仿真和验证。

3. PCB板设计使用Altium Designer软件进行PCB设计,包括原理图设计、布线和元器件选型。

总结EDA技术广泛应用于电子设计领域,掌握EDA技术对于提高电路设计效率和设计质量至关重要。

通过本次课程设计的学习,希望大家可以更好地掌握EDA技术,并在实践中应用。

课程设计eda

课程设计eda

课程设计eda一、教学目标本课程的教学目标是使学生掌握XX学科的基本概念、原理和方法,能够运用所学知识解决实际问题。

具体目标如下:1.知识目标:学生能够准确理解并记忆XX学科的基本概念、原理,了解学科的发展历程和应用领域。

2.技能目标:学生能够运用所学知识解决实际问题,具备一定的实践操作能力,能够进行科学探究和数据分析。

3.情感态度价值观目标:学生对XX学科产生浓厚的兴趣,培养科学思维和创新意识,增强社会责任感和使命感。

二、教学内容根据课程目标,本课程的教学内容主要包括以下几个方面:1.XX学科的基本概念和原理:通过讲解和案例分析,使学生了解并掌握XX学科的基本概念和原理。

2.XX学科的应用领域:介绍XX学科在实际应用中的案例,让学生了解学科的广泛应用。

3.实践操作和科学探究:学生进行实验和实践活动,培养学生的实践操作能力和科学探究能力。

4.数据分析与处理:教授学生如何运用数据分析方法解决实际问题,提高学生的数据分析能力。

三、教学方法为了实现课程目标,本课程将采用多种教学方法,包括:1.讲授法:通过教师的讲解,使学生掌握XX学科的基本概念和原理。

2.讨论法:学生进行课堂讨论,培养学生的思考和表达能力。

3.案例分析法:通过分析实际案例,使学生了解XX学科的应用领域。

4.实验法:学生进行实验操作,培养学生的实践能力和科学探究能力。

四、教学资源为了支持教学内容和教学方法的实施,本课程将准备以下教学资源:1.教材:选择适合学生水平的教材,为学生提供系统性的学习资料。

2.参考书:提供相关的参考书籍,丰富学生的知识体系。

3.多媒体资料:制作多媒体课件和教学视频,提高学生的学习兴趣和效果。

4.实验设备:准备必要的实验设备和材料,为学生提供实践操作的机会。

五、教学评估本课程的评估方式将包括平时表现、作业、考试等多个方面,以全面、客观地评价学生的学习成果。

具体评估方式如下:1.平时表现:通过观察学生在课堂上的参与程度、提问回答等,评估学生的学习态度和理解程度。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA技术课程设计报告课题名称:六位频率计的设计电子工程系2010年6月10日目录一概述 ............................................................................................... - 1 -(一)设计背景及意义 .. (1)(二)设计任务与要求 (1)二六位频率计的工作原理 ............................................................... - 2 -三六位频率计的设计与仿真 ........................................................... - 3 -(一)六位十进制频率计的设计与仿真 . (4)(二)六位十六进制频率计的设计与仿真 (6)四调试过程、测试结果及分析 ....................................................... - 9 -(一)六位十进制频率计的调试过程、测试结果及分析 (9)(二)六位十进制频率计扩展功能的调试过程、测试结果及分析. -9-(三)六位十六进制频率计的调试过程、测试结果及分析 (10)五课程设计体会 ................................................. 错误!未定义书签。

六参考文献 ..................................................................................... - 11 -六位频率计的设计一概述(一)设计背景及意义随着数字电子技术的发展,频率测量成为一项越来越普遍的工作,因此测频计常受到人们的青睐。

目前许多高精度的数字频率计都采用单片机加上外部的高速计数器来实现,然而单片机的时钟频率不高导致测频速度比较慢,并且在这种设计中,由于PCB版的集成度不高,导致PCB板走线长,因此难以提高计数器的工作频率。

为了克服这种缺点,大大提高测量精度和速度,我们可以设计一种可编程逻辑器件来实现数字频率计。

数字频率仪器是数字电路中一个典型应用,实际的硬件设计用到的器件较多,联机比较复杂,而且会产生比较大的延时。

随着复杂可编程逻辑器件的广泛应用,将使整个人系统大大简化,提高整体性能。

它是直接用十进制数字来显示被测信号频率的一种测量装置。

它不仅可以测量正弦波、方波、三角波、尖脉冲信号和其他具有周期的信号的频率,而且还可以测量他们的周期。

经过改装,还可以测量脉冲宽度,做成数字式脉冲测量仪;可以测量电容,做成数字式电容测量仪;在电路中增加传感器,还可以做成数字脉搏仪、计数器等。

因此数字频率仪在测量物理量方面有广泛应用。

(二)设计任务与要求1、设计任务采用原理图设计并制作六位十进制频率计,用VHDL语言方法设计并制作六位十六进制频率计。

2、设计要求a)参考信号频率为1Hz;b)测量频率范围:六位十进制频率计:1Hz~100kHz;六位十六进制频率计:1Hz~4MHz;c)结果能用数码显示器显示。

二六位频率计的工作原理频率计的设计结构一般都是由频率计测频时序控制电路,计数器电路,锁存器以及显示器这四个模块组成(原理框图如下所示)。

根据频率的定义以及频率的测量基本原理可知,测定信号的频率必须有一个脉宽为1s的输入信号脉计数允许的信号,由于测频时序控制电路是一个16分频电路,因此1HZ脉冲信号经过测频时序控制电路后便会产生频率为1/16HZ的输出信号,即时钟周期为16s。

由于测频控制电路输出的是使能信号高电平有效,则只有在脉宽为8s时才能计数,即在1s的输入信号下可计8个数。

当在计数器输入端输入一个NHZ的信号时,则它在使能端输出高电平下一共可计8N个数值,当时钟信号下降沿来临时产生所存信号把所计的数值锁存到锁存器中并通过显示电路显示出来。

当在下一个脉宽上升沿来之前开始清零为下一次计数做准备。

1.测频控制信号发生器测频控制信号发生器产生测量频率的时序控制器,是设计频率计的关键。

这里控制信号取为1 Hz,2分频后就是一个脉宽为1 s的时钟信号,用来作为计数闸门信号。

当时钟信号为高电平时开始计数;在时钟信号的下降沿,产生一个锁存信号,锁存数据后,还要在下次时钟信号上升沿到来之前产生清零信号,为下次计数做准备,清零信号是上升沿有效。

2 . 计数器计数器以待测信号作为时钟,在清零信号到来时,异步清零;待测信号为高电平时开始计数。

本文设计的计数器计数最大值是 999 999。

3.锁存器当锁存信号上升沿到来时,将计数器的计数值锁存,这样可由外部的七段译码器译码并在数码管上显示。

设置锁存器的好处是显示的数据稳定,不会由于周期性的清零信号而不断闪烁。

锁存器的位数应跟计数器完全一样,均是24位。

4.译码驱动电路本文的数码管采用动态显示方式,每一个时刻只能有一个数码管点亮。

数码管的位选信号电路是74LS138芯片,其8个输出分别接到8个数码管的位选,3个输入分别接到EPF10K10LC84-4的I/O引脚。

5. 数码管显示本文采用6个共阴极数码管来显示待测频率的数值,其显示范围从O~999999。

三六位频率计的设计与仿真要在实验箱上观测到实验现象时,则必须经过两大步骤:①.软件测试。

其中包括原理图的设计与仿真或是VHDL语言描述与仿真和管脚锁定②.硬件测试。

它包括试验箱的下载和电路的搭建㈠.软件测试原理图设计与仿真步骤:打开quartusII软件,首先创建一个工程并保存,然后新建一个原理图文件(.dbf)或是VHDL文件,此时原理图文件(VHDL文件)名要与工程名相同且与工程保存在同一路径下,最后在工程里面打开原理图文件或是VHDL文件则可在其中编辑原理图或编写VHDL语言描述。

原理图(或VHDL)编辑完成后先编译,编译成功后则可进行防真。

打开仿真文件(.vwf),先添加进原理图(或VHDL)的功能端口即输入输出端口,然后根据实现其功能的原理设置时钟周期(一般设置为20us)以及各端口的脉冲频率。

如果输出端是计数端,则应在count vaule—timing 里面设置count every的计数时钟,并且还可根据需求设置输出方式是按二进制,十进制还是十六进制形式输出。

各项数据设置完成后,按原理图文件名保存并保存在与其同一路径下,然后仿真,直到仿真成功为止。

如果以上编辑的都是底层文件时,则需要将底层文件包装变成一个单一元件并放置在工程路径指定的目录中以备顶层文件的编辑调用,如是顶层或是单一文件时则不需要进行构建元件符号。

由于底层文件不需要在试验箱上观测效果,则没必要进行管脚锁定以及后面的相关操作了,而顶层文件是要在实验箱上观测最终实验结果的则仿真完成后需进行管脚锁定。

㈡.硬件测试硬件结果显示步骤:连接实验箱上的电源线,将计算机下载线连接到实验箱上,根据各端口对应的管脚进行连线,完成后打开电源与试验箱开关进行配置文件下载,但在下载之前一定要先编译一次。

下载成功后则可在试验箱直接观测到实验现象。

(一)六位十进制频率计的设计与仿真底层 TF_CTRL原理图:其仿真波形:底层CNT_10原理图:其仿真波形:顶层TOP原理图:其仿真波形:(二)六位十六进制频率计的设计与仿真--测频控制电路(FTCTRL)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FTCTRL ISPORT (CLKK : IN STD_LOGIC; --1HzCNT_EN : OUT STD_LOGIC; --计数器时钟使能RET_CNT : OUT STD_LOGIC; --计数器清零LOAD : OUT STD_LOGIC); --输出锁存信号END FTCTRL;ARCHITECTURE behave OF FTCTRL ISSIGNAL Div2CLK : STD_LOGIC;BEGINPROCESS(CLKK)BEGINIF CLKK’EVENT AND CLKK=’1’THEN --1Hz时钟2分频Div2CLK <= NOT Div2CLK;END IF;END PROCESS;PROCESS(CLKK,Div2CLK)BEGINIF CLKK=’0’AND Div2CLK=’0’THEN RET_CNT<=’1’;--产生计数器清零信号ELSE RST_CNT <= ‘0’;END IF;END PROCESS;LOAD <= NOT Div2CLK;CNT_EN <= Div2CLK;END behave;仿真波形:---24位锁存器(REG24B)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY REG24B ISPORT ( LK : IN STD_LOGIC;DIN : IN STD_LOGIC_VECTOR(23 DOWNTO 0);DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0));END REG24B;ARCHITECTURE behave OF REG24B ISBEGINPROCESS(LK,DIN)BEGINIF LK’EVENT AND LK = ‘1’THEN DOUT <= DIN;END IF;END PROCESS;END behave;仿真波形:---24位计数器(COUNTER24B)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COUNTER24B ISPORT ( FIN : IN STD_LOGIC; ---时钟信号CLR : IN STD_LOGIC; ---清零信号ENABL : IN STD_LOGIC; ---计数使能信号DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)); ---计数结果END COUNTER24B;ARCHITECTURE behave OF COUNTER24B ISSIGNAL CQI : STD_LOGIC_VECTOR(23 DOWNTO 0);BEGINPROCESS (FIN,CLR,ENABL)BEGINIF CLR = ‘1’THEN CQI <= (OTHERS=>’0’); ---清零ELSIF FIN’EVENT AND FIN = ‘1’THENIF ENABL = ‘1’THEN CQI <= CQI + 1;END IF;END IF;END PROCESS;DOUT <= CQI;END behave;仿真波形:----频率计顶层文件(FREQTEST)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY FREQTEST ISPORT ( CLK1HZ : IN STD_LOGIC;FSIN : IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0));END FREQTEST;ARCHITECTURE struc OF FREQTEST ISCOMPONENT FTCTRLPORT (CLKK : IN STD_LOGIC; ---1HZCNT_EN : OUT STD_LOGIC; ---计数器时钟使能RST_CNT : OUT STD_LOGIC; ---计数器清零Load : OUT STD_LOGIC ); ---输出锁存信号END COMPONENT;COMPONENT COUNTER24BPORT ( FIN : IN STD_LOGIC; ---时钟信号CLR : IN STD_LOGIC; ---清零信号ENABL : IN STD_LOGIC; ---计数使能信号DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)); ---计数结果END COMPONENT;COMPONENT REG24BPORT ( LK : IN STD_LOGIC;DIN : IN STD_LOGIC_VECTOR(23 DOWNTO 0);DOUT : OUT STD_LOGIC_VECTOR(23 DOWNTO 0));END COMPONENT;SIGNAL TSTEN1 : STD_LOGIC;SIGNAL CLR_CNT1 : STD_LOGIC;SIGNAL Load1 : STD_LOGIC;SIGNAL DTO1 : STD_LOGIC_VECTOR(23 DOWNTO 0);SIGNAL CARRY_OUT1 : STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINU1 : FTCTRL PORT MAP(CLKK => CLK1HZ,CNT_EN => TSTEN1,RST_CNT => CLR_CNT1,Load => Load1);U2 : REG24B PORT MAP( LK => Load1,DIN => DTO1,DOUT => DOUT);U3 : COUNTER24B PORT MAP( FIN => FSIN,CLR => CLR_CNT1,ENABL => TSTEN1,DOUT => DTO1);END struc;仿真波形:四调试过程、测试结果及分析(一)六位十进制频率计的调试过程、测试结果及分析调试过程:①软件调试。

相关文档
最新文档