9210(version5.0.0f)开局配置步骤
DSLAM9210数据配置模板
DSLAM9210数据配置模板一、网管配置1、带外网管配置登陆设备后输入config,进入配置模式下,输入ip host A.B.C.D(IP地址)A.B.C.D(子网掩码)。
2、带内网管配置登陆设备后输入config,进入配置模式下,输入ip subnet A.B.C.D(IP地址) A.B.C.D(子网掩码)。
二、路由配置进入配置模式下,输入ip route 124.234.0.0(目的IP地址)255.255.0.0 (目的掩码长度)222.168.135.121(网关地址)三、时间配置进入配置模式下,输入time 回车。
根据提示输入相应的信息设定年月日,格式为:2008-08-21,回车后设定时间,格式为:14:49:59。
四、环路检测及控制配置进入配置模式下,输入slot dsl-mpvc +板号。
进入单板后输入loop-check interval +环路检测周期时间。
五、网络管理配置进入配置模式下,输入snmp-server community ping2 ro(ping2为只读),snmp-server community 100wxs9dj rw(100wxs9dj为可写)。
该命令是定义SNMP 协议的版本为:V2C版本。
snmp-server host 222.168.102.249,该命令为指定网管主机地址。
六、VLAN配置进入配置模式下1、添加VLAN:add-vlan 100 pvc pvc1:100为vlan ID ,pvc1 为该VLAN使用的PVC号。
2、将VLAN添加到端口vlan 100 16/1 tag :100为vlan ID,16/1为板号/端口号,tag如该vlan在此端口需要打标签此处设为tag,如不需要打标签则为untag.3、设置端口的PVID进入设备端口interface dsl-mpvc 3/2(3/2为板号/端口号),输入pvid 100 pvc1(100为pvid号)。
9210磁盘阵列的安装及配置
3l ・
维普资讯
20 07年第 2期
第3 卷 1
贵 州 气 象
Junl f uzo t rlg ora G i uMe oooy O h e Vo 3 NO 2 L 1 .
c s t no s 00 X 。管理工作站应安装随机 r o dw 0/ P o fWi 2
和提供数据备份技术 。组成磁盘阵列的不同方式成 为RI A D级别 ( AD L vl 。数据备 份 的功 能是 R I ee ) s
A a o t l r( D C) F ST U it sIM A f r yC nr l R A ; A t tie ; oe li B F S S rg n ae 管理 方式 。 t aeMa gr o
个主要因素 , 则根据硬盘数量选择 R I 。如果可 AD 1 用性、 成本和性能都同样重要 , 则根据一般的数据传
对于 本项 目, 用直 连式 管 理方式 , 采 管理工 作站 采用 笔 记 本 电脑 。管 理 工 作 站 操 作 系 统 采 用 Mi 一
收稿 日期 : 07— 2— 6 2 0 0 2 第一作者 简介 : 汤宁 (9 6一) 男 , 17 , 工程师 , 主要从事 网络管理、 护工作 。 维
在用户数据一旦发生损坏后 , 利用备份信息可 以使
损坏数据得以恢复 , 从而保 障了用户数据的安全性。
R I 术有 快 速 、 全 2大 特 点 。R I AD技 安 A D级 别
S M可采用直连式 、 主机式 2种方式进行管理 ,
前者 管理 软件安 装 在一 台专 用 的管理 工作 站或 台式
输和硬盘的 数量选择R 3R 5 AD 、AD 。
3 磁盘阵列安装及配置
linux下weblogic92集群安装部署-图文
linux下weblogic92集群安装部署-图文第一章JDK安装1.1安装JDK1.5#chmodu+某./jdk-1_5_0_15-linu某-amd64-rpm.bin#./jdk-1_5_0_15-linu某-amd64-rpm.bin#rpm-ivhjdk-1_5_0_15-linu某-amd64.rpm安装过程中会出现如下界面Preparing...###########################################[100% ]jdk###########################################[100%] JDK将自动安装到/ur/java/jdk1.5.0_15目录下,安装完成。
1.2配置环境变量(1)打开/etc/profile文件#vi/etc/profile进入vi以后,按i键,输入内容,最后按一下ESC,再按冒号(:),输入wq就保存退出在文件中加入:e某portJAVA_HOME=/ur/java/jdk1.5.0_15e某portCLASSPATH=.:$JAVA_HOME/lib/dt.jar:$JAVA_HOME/lib/tool.jare 某portPATH=$JAVA_HOME/bin:$PATH一定要注意,如果path配错了,造成的后果是毁灭性的,系统将崩溃。
(2)注销或重启系统后,输入如下命令,检查java环境变量是否配置成功Java-verion//出现正确的版本信息,则配置成功第二章Weblogic9.2群集安装准备2.1预备知识Weblogic中Domain和Server的关系DomainDomain是WebLogicServer实例的基本管理单元。
所谓Domain就是,由配置为AdminitratorServer的WebLogicServer实例管理的逻辑单元,这个单元是有所有相关资源的集合。
ServerServer是一个相对独立的,为实现某些特定功能而结合在一起的单元。
C9120光纤交换机配置说明
C9120光纤交换机配置说明一、初始配置Step 1 加电开机后,需对交换机做初始的配置,通过console线连接至交换机引擎模块上的console 接口。
Step 2 为administrator输入新密码。
(admin密码要求相对复杂点,一般要求为不少于8字符,有大小写、数字或特殊字符)Enter the password for admin: ZIQadmin1Step 3 进入安装模式.(根据提示进行相应的初始配置,任何时候按Ctrl-C即可终止初始配置)Would you like to enter the basic configuration dialog (yes/no): yesStep 4 再次为administrator输入新密码(默认密码为admin ).Enter the password for admin: ZIQadmin1Step 5 创建额外的用户帐号(用户账号ID必须为非数字字符)Create another login account (yes/no) [n]: yesa. 键入用户ID.Enter the user login ID: ZIQb. 键入用户密码.Enter the password for user_name: ZIQoperate1C. 确认用户权限.Enter the authentication:operatorStep 6 创建SNMPv3 帐号.Configure SNMPv3 Management parameters (yes/no) [y]: yesa. 键入用户名.SNMPv3 user name [admin]: adminb. 键入密码(最少8位). 默认为admin123.Step 7 配置可读写的SNMP community string。
Configure read-only SNMP community string (yes/no) [n]: nConfigure read-write SNMP community string (yes/no) [n]: yesa. Enter the SNMP community string.SNMP community string: snmp_communityStep 8 给交换机命名(最多32个字符,默认为switch).Enter the switch name:ZIQ_C9120sw1Step 9配置外部管理地址Continue with Out-of-band (mgmt0) management configuration? [yes/no]: yesa. Enter the mgmt0 IP address.Mgmt0 IP address:10.33.0.82b. Enter the mgmt0 subnet mask.Mgmt0 IP netmask: 255.255.255.0Step 10 配置默认网关.Configure the default-gateway: (yes/no) [y]: yesa. Enter the default gateway IP address.IP address of the default-gateway: 10.33.0.1Step 11 配置高级IP选项Configure Advanced IP options (yes/no)? [n]:noStep 12 启用Telnet 服务.Enable the telnet service? (yes/no) [y]: yesStep 13 启用SSH 服务.Enabled SSH service? (yes/no) [n]: yesStep 14 键入SSH类型Type the SSH key you would like to generate (dsa/rsa/rsa1)? dsaStep 15 选择key bitsEnter the number of key bits? (768 to 2048): 768Step 16 配置NTP 服务.Configure NTP server? (yes/no) [n]: yesStep 17 交换机端口默认状态(不包含管理以太端口)Configure default switchport interface state (shut/noshut) [shut]: no shutStep 18 配置交换机默认trunk 模式.Configure default switchport trunk mode (on/off/auto) [on]: offStep 19 配置交换机默认PortChannel auto-create 状态.Configure default port-channel auto-create state (on/off) [off]: offStep 20 配置交换机默认zone 策略.(如果选择deny,则未划入特定zone的端口将不属于任何一个zone,一般建议为permit,但在本案例中选用了deny)Configure default zone policy (permit/deny) [deny]: denyStep 21 配置交换机默认全zone set分布策略。
EPON开局及配置
GWD
QINQ配置示例—4EPON板卡
社区QINQ配置 GFA6900(config)#qinq-map ingress shequ1/1 GFA6900 (config-qinq-ingmap- shequ1/1)#match ingress-port 1/1 GFA6900 (config-qinq-ingmap- shequ1/1)#match inner-vid 101 164 GFA6900 (config-qinq-ingmap- shequ1/1)#policy outervlan vid add 101 GFA6900 (config-qinq-ingmap- shequ1/1)#apply pon 1/1 downlink vlan-tag-striped 101
GWD
QINQ配置示例
商业QINQ配置 走单层商业 vlan add ingress vlantrans 1/1 3901 3901 0 0 0
GWD
QINQ配置删除
删除qinq-map 4EPON板: undo qinq-map ingress a<qinq-map> //删除指定的qinq-map 12PON板 需先进入qinq-slot节点,然后才能删除创建的qinq-map qinq-slot 2 undo qinq-map ingress all //删除2槽相关的所有qinq-map exit qinq-slot 2 undo qinq-map ingress pon2/5 exit
7
GWD
QINQ配置
灵活QINQ
QINQ属性配置 vlanmode stack vlan stack nni enable 上联口开启nni模式 创建QINQ-MAP qinq-map [ingress|egress] <map_name> 匹配条件 match ingress-port <slot/port> match inner-vid <1-4094> <1-4094> 配置规则 policy outervlan vid [add|replace] <0-4094> policy nodrop vlanadd <0-4094> nochgpri 0 应用 apply 下行脱外层vlan: downlink vlan-tag-striped 101 配置接收超长帧:jumbo receive length 1692 pon jumbo length 1596
华为I命令行配置方法
华为I命令行配置方法华为i系列交换机是华为公司推出的一款高性能、模块化的以太网交换设备,支持丰富的网络应用和高速数据交换。
以下是华为i系列交换机的命令行配置方法:1.连接到设备:使用网线将PC/终端设备连接到交换机的Console端口。
打开终端模拟软件(如SecureCRT、PuTTY等)并选择与Console端口连接的串口号,配置波特率为9600,并打开连接。
2.登录设备:当终端模拟软件连接成功后,在终端上将会出现一串乱码。
按回车键后,会显示登录提示符(如"Huawei"或">")。
输入默认用户名"admin"和密码"admin",然后按回车键进行登录。
3.进入系统视图:在登录成功后,进入交换机的用户视图。
在用户视图下,您只能执行局部命令,无法进行全局配置。
输入"enable"或"system-view"命令,然后按回车键,进入系统视图。
4.配置主机名:在系统视图下,输入"sysname [hostname]"命令,其中[hostname]为您所希望设置的主机名。
按回车键后,主机名将会被设置为您配置的值。
5.配置管理接口IP地址:在系统视图下,输入"interface vlan-interface [vlan-id]"命令,其中[vlan-id]为您所希望设置的VLAN接口ID。
按回车键后,进入VLAN接口视图。
在VLAN接口视图下,输入"ip address [ip-address] [mask-length]"命令,其中[ip-address]为您所希望设置的管理接口IP地址,[mask-length]为子网掩码长度。
按回车键后,管理接口IP地址将会被设置为您配置的值。
6. 配置Telnet访问:在系统视图下,输入"telnet server enable"命令,然后按回车键,开启Telnet功能。
互联网QC-降低互联网DSLAM丢包率
铁通江西分公司 网络支撑中心QC小组
一、小组概况
课题名称:降低互联网DSLAM丢包率 成果类型:现场型 注册时间:2010-07 活动日期:2010年07月-2010年12月 注册号:QC-14-2010-00X
小组成员
小站成员 性别 文化程度 职务(或职称) 主要分工 组长
1、协商模式原则上 要求配置强制百兆/ 千兆全双工。 远程 检查 设备参数配 置是否最佳 2、管理地址配置修 改后应及时用save保 存。
8月20 日 2、对于管理地址丢失的问 题,网支中心已经要求各市 公司配置修改后要及时保存。
万涛
是
6
设备 软件 不合 理
远程 检查
软件版本是 否运行稳定
1、华为MA5100版本 统一Version B10D051。
万伟
是
8
光猫 可靠 性低
调查 分析
检查各厂家 光猫的技术 指标
各项技术指标是否符 合行业入网标准
黄勤
否
序 号
末端 原因
设备 机架 接地 不良
确认 方法
确认内容
确认标准
确认情况
完成 时间
责任 人
杨良 科、 万伟、 朱晨 辉 杨良 科、 万伟、 朱晨 辉
是否 要因
9
现场 检查
检查设备机 架的接地情 况
按照省公司下发的 《三率报表不达标 数据排查整治指导 意见》进行处理
网支中心通过地市巡检的方 式,按照《三率报表不达标 数据排查整治指导意见》, 对地市进行培训。
8月6 日
万涛
是
六、要因再确认
造成互联网DSLAM丢包率高的5 个主要原因:
料
Quartus_II 9.0 初级教程
Quartus Ⅱ 9.0 使用教程(初级)Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,,支持原理图输入、硬件描述语言的输入等多种输入方式。
硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。
接下来我们对这种智能的EDA 工具进行初步的学习。
使大家以后的数字系统设计更加容易上手。
第一步:打开软件●快捷工具栏:提供设置(setting ),编译(compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。
● 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。
● 编译及综合的进度栏:编译和综合的时候该窗口可以显示进度,当 显示100%是表示编译或者综合通过。
●信息栏:编译或者综合整个过程的详细信息显示窗口,包括,编译通过信息和报错信息。
第二步:新建工程(file>new Project Wizard ) 1 工程名称:2添加已有文件(没有已有文件的直接跳过next )3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片)4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next )5 工程建立完成(点finish)第三步:添加文件(file>new> VHDL file),新建完成之后要先保存。
第四步:编写程序3-8译码器的VHDL描述源文件如下:library ieee;use ieee.std_logic_1164.all;entity decoder3_8 isport( A:in std_logic_vector(2 downto 0);EN:in std_logic;Y:out std_logic_vector(7 downto 0)); end decoder3_8;architecture example_1 of decoder3_8 issignal sel:std_logic_vector(3 downto 0);beginsel<=A & EN;with sel selectY <= "11111110" when "0001","11111101" when "0011","11111011" when "0101","11110111" when "0111","11101111" when "1001","11011111" when "1011","10111111" when "1101", "01111111" when "1111", "11111111" when others; end example_1; 然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis ))点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner ))各个端口的输入输出双击location 为您的输入输出配置引脚(见管脚分配表)。
手把手教你设置BIOS开机启动项,从此装系统不求人
手把手教你设置BIOS开机启动项,从此装系统不求人制作完U盘启动后,不会BIOS设置怎么办?现在我们就聊一聊BIOS开机启动项的设置一般的品牌机,例如联想电脑,无论台式机或笔记本,选择启动项的键都是F12,开机的时候按F12键会出现启动项选择界面,从中我们可以选择电脑从什么介质启动,一般可供选择的有光驱、硬盘、网络、可移动磁盘(U盘)。
如果对英文不是很了解无法确定各个选项代表什么,可以通过一个单词来快速选择U盘启动,也就是在出现的启动项列表里找到一项带USB字样的就可以了。
注:根据自己的电脑类型,选择热键,直接启动U盘,(重要提醒:利用按键选择U盘启动前,请先插入U盘)如图1所示,以上是以联想电脑为例,其余品牌机或者部分组装机也有按键选择启动项的功能,简单列举几种:惠普笔记本:F9 ,戴尔:F12,有一部分组装机是F8,大体而言也就这么几种按键。
有些电脑开机的时候在电脑屏幕下方会显示哪个键可以用来设置启动选项,有些电脑不显示,那就需要进BIOS将F12 的BOOT MENU 功能开启。
还有一些电脑是没有热键选择启动项功能的,对于这种电脑只能通过下面的第二种方法来设置了。
一、电脑如何进入BIOS?在BIOS模式下USB的启动热键是什么?用户可以根据不同的电脑型号,选择相应的热键,直接启动一键U盘装系统工具设置(重要提示:在选择启动热键前,需先插入U盘方可)二、设置U盘启动,不同的BIOS设置U盘启动方法均不同,以下为常见的三种方法:1、Phoenix – AwardBIOS(2010年之后的电脑):2、旧的Award BIOS(2009年电脑主板):3、2002年电脑主板的一款BIOS:1、Phoenix – AwardBIOS(2010年之后的电脑设置方法):上图:开机时按住Del键进入到该电脑的BIOS设置界面,选择高级BIOS设置Advanced BIOS Features。
上图:在进入高级BIOS设置(Advanced BIOS Features)界面后,首先要选择硬盘启动优先级:Hard Disk Boot Priority。
ZXDSL_9210V5.0.0P2T1版本升级说明
内部公开▲技术文件文件名称:ZXDSL9210/9203V5.0.0P2T1升级指导书文件编号:版本:共12 页(包括封面)拟制/日期审核/日期批准/日期中兴通讯股份有限公司固网中心目录1. 版本信息 (3)2. 版本兼容性说明 (3)3. 升级注意事项 (4)4. 升级准备 (6)4.1. 工具 (6)4.2. 软硬件 (6)4.2.1. 软件准备 (6)4.2.2. 硬件准备 (6)4.3. 数据备份 (6)4.4. 配置检查 (6)5. 升级操作步骤 (6)5.1. 通用升级步骤 (7)5.2. 需要升级主控boot时的步骤 (7)5.3. ASIGN/A TIGN/ISIGN单板升级步骤 (8)5.4. ASIKB/ASIGB/ASIKC升级步骤 (9)5.5. EICG/EICM/VTIEF升级步骤 (10)6. 升级后测试验证 (11)6.1. 基本业务验证 (11)6.2. 新增功能验证 (11)7. 备板备件升级方案 (11)8. 升级过程中可能出现的故障及解决办法 (11)9. 应急回退和处理办法 (12)10. 现场值守和观察要求 (12)ZXDSL9210&9203版本升级指导书1.版本信息ZXDSL 9210 V5.0.0P2T1版本是在V5.0.0P1T1版本基础上开发的,主要功能没有变化,相对于V3.1.2版本是个全新的版本,支持ADSL/ADSL2+/SHDSL/VDSL用户接入,支持IGMP Snooping,802.1D,802.1Q,StackVlan等基本业务,支持SNMP/TELNET/Console管理。
由于新老版本跨度较大,升级时须注意的是:1.除非特殊说明,否则各种单板升级尽量用同一版本包里的版本。
2.对于9210V3.0L, 9203V1.0i/1.0j,升级到目前版本需要升级主控板boot,并在boot下升级版本;见5.2节。
建议准备备用SCB板件;这种升级需要重做所有数据。
中兴dslam设备 9210开局_W
DSL(cfg-slot-adsl(m)-1)# adsl profile def2m
十六、其他业务板设置同业务板1
十七、检查配置:
DSL(cfg-slot-adsl(m)-1)#show run
DSL#copy run s 保存
加板前设置adsl板模式
EICNO - 16 port 10/100 optical etherport card
EICG - 3 port gigabit ethernet card
ATIGN - 32 port single pvc adsl card
RPCH - 2 GE port
NONE - No board
DSL(config)$ card slot 5 cardtype ATIGN 板的型号
vlan vlan号 un 加板VLAN
13 NONE DOWN NONE 0.0 0 none
14 NONE DOWN NONE 0.0 0 none
根据局点不同,需要更改业务vlan、网管vlan和网管地址。此模板业务vlan是3622,网管vlan是308,网管地址是:192.168.167.15。
登录设备,输入用户名和秘密:
Login:edsl
Password:edsl
一、进入conf模式:
DSL# conf
二、用show card命令检查业务板班子类型和对应的槽位号:
Press any key to continue (Q to quit)
三、增加业务板类型:
DSL(config)# card slot 1 cardtype asign
华为防火墙2110调试
防火墙说明文档一使用串口转USB加串口转网口组合,网口在防火墙端接入console口,在笔记本电脑中打开CRT选择端口后,链接到防火墙配置输入dis cu 查看防火墙基本配置,按住空格显示更多配置,查看完后输入sys 进入配置[USG2110]抬头下进行网口配置输入 interface ethernet1/0/0/6 表示进入LAN6口的端口具体端口表示多少号需要在查询防火墙配置中找到相关端口名称例如1/0/1或者2/0/0等如果该端口没有网线接口使用需要关闭接口输入 shutdown就可以成功关闭端口然后用一根网线用笔记本接入到LAN1口,LAN1口是进入WEB配置界面的口,通常情况下不要当做通讯接口使用。
在前面查询防火墙配置的时候就能看的WEB界面的IP端口,通常都是192.168.0.1 (不要以下图IP为例)用户名admin密码 Admin@123进入之后首先修改密码进入左侧的“系统”——“管理员”——“管理员”点击修改将密码统一改为 Fglyc_01 “应用”“返回”进入左侧的“网络”——安全区域——安全区域点trust的修改按钮在描述中填入描述例如此防火墙用于一二区就填“安全一区”并将不用的lan 口选中并删除留需要用lan口的和lan7口 lan7口用于调试然后点“应用”、“返回”同样方法修改untrust区域如果多条链路接口不够用也可以增加lan口到untrust 区再进入左侧“网络”——“接口”-“接口”中修改wan0口选择“交换”然后修改Access VLAN ID 为2 (lan0和wan0他们为一条通路时,将wan0和lan0都设同样的值就可以,这里设置为2)然后“应用”“返回”同样方法修改lan0 “应用”“返回”如果多条链路就将 Access VLAN ID值依次增加即可保证一条链路两个口的Access VLAN ID值相同即可在防火墙中找到安全策略中转发策略,新建添加两个策略Trust-untrustUntrust-trust两条策略如下图配置2.10 安全防护2.10.1 攻击防范1. 流量型(1)SYN Flood:启用。
9210设备配置范本
dsl(cfg-slot-dsl-m 1)$pvid 500-531 pvc1 /设置所有用户端口的PVID,每槽位一条命令
dsl(config)$adsl-profile h512 /创建线路参数文件dsl(config)$adsl-profile h512 /创建线路参数文件
AtucChanConfMaxInterDelay[0-255]:(8) /交织时延
AturConfRateMode[fixed(1),adaptAtStartup(2),adaptAtRuntime(3)]:(2)
AturConfRateChanRatio [0-100]:(0)
LineDMTConfEOC[byte(1),streaming(2)]:(1)
ConfProfileLineType[noChannel(1),fastOnly(2),interleavedOnly(3),fastOrInterleaved(4),fast&Interleaved(5)]:(3)
9210设备配置范本
DSL(config)$ card slot 1 cardtype ASIGN /指定板件类型
dsl(config)$add-vlan 300 /创建网管VLAN
dsl(config)$add-vlan 500-963 /创建业务VLAN
dsl(config)system special flood disable /关掉设备的洪范开关
dsl(config)system special spe 2 /设置设备异常mac地址的老化时间为2
dsl(config)system special auto 10 /设置设备主动发ARP包,使网管通道正常,避免上层设备间mac老化时间不一致导致设备网管中断
LCW9210BWZ-AC220V无线温控面板使用说明书V1.0
LCW9210BWZ-A C220V无线智能温控面板用户使用手册序言感谢您选用山东力创科技股份有限公司LCW9210BWZ-AC220V无线智能温控面板产品(以下简称无线温控面板)。
我们建议在安装、操作或维护此设备之前,请仔细阅读本手册,并逐步熟悉这种仪表。
以下特殊信息可能贯穿出现在本手册中或在设备上,用来警示潜在的危险或用于阐释和规定操作规程,请注意。
安全须知按照说明书指示的使用方法正确使用可以避免产品出现不必要的故障或损坏,并可保证使用者的安全。
1、使用过程中对操作者造成危险的安全注意事项。
(1)为确保正确、安全使用本产品,需专业电工安装或拆卸;(2)安装或拆卸操作时,必须断开主电源;2、个人维护、调整或更换易损件时,可能对操作者造成人身伤害。
(1)请勿擅自拆开产品,更不可带电拆机。
请用户严格按照本说明书说明安装和使用本产品,以获得最佳使用效果。
在试图安装、操作或维护此设备之前,请仔细阅读本手册,拿到它并逐步熟悉这种仪表。
以下特殊信息可能贯穿出现在本手册中或在设备上,用来警示潜在的危险或对于阐释和规定操作规程的信息提请注意。
附有这种安全标志示意周围存在着电力危险,假若未遵照一定的指令将会导致人身伤害。
这是安全警告标志,用来警告你潜在人身伤害的危险,遵照此标志后的所有安全信息,避免可能的伤害或死亡。
危险此标志指示临近于危险位置,如不加以避免将导致死亡或严重伤害。
在维护和检修之前,设备必须断电并接地。
维护工作只能由有资质的人员执行。
©2014Shandong Lichuang Science&Technology Co.,Ltd版权所有本出版物中所包含的信息仅为所显示的目的而制作。
没有本公司的书面同意,本手册及随同无线温控面板一起提供的其他文件不得被复制,不管是部分或全部。
用于描述设备的图纸及图片仅作为一般参考作用,而不能确保每个细节的完整性与准确性.。
本手册对应的相关内容如有更改,恕不另行通知。
中兴9210官方开局指导
中兴9210官方开局指导!(2007-06-30 22:10:16)转载分类:中兴设备官方开局指导!开局步骤1、登录用户名edsl 口令edsl2、清除数据erase3、重起reboot4、设置网元带外ip, mac 地址重起后,键入“s”,进入boot模式输入“c”,修改inet on ethernet (e) : 136.2.9.40(带外ip)键入“M”,[VxWorks Boot]: M 修改带外MAC 地址键入“@”,重起5、设置带内MAC地址DSL#enable输入zxedsl(即超级用户下)debug mo 12345deb mo 888999 p1 00:d0:d0:dd:ee:ff重起让mac地址生效。
6、显示单板状态show card7、显示ip,macshow ip subnet8、启用pvlan 模式DSL(config)# pvlan-mode enable添加PVLAN里面的上联端口(正常端口,建议将所有小卡的以太网端口做为正常端口)DSL(config)# pvlan-mode uplink_port 16/1-89、保存并重启Copy run start.Reboot.注意:可以和第五步合并只重启1次。
10、创建网管vlanDSL(config)# add-vlan 4DSL(config)# vlan 4 16/5 tag11、设定网元ipDSL(config)# ip subnet 136.1.9.40 255.255.0.0 4 name nm12、设定网管服务器和认证字DSL(config)#snmp-server host 136.2.1.1 private(可选)如果在网管组网中网元和网管服务器不在同一网段,需要用ip route命令配置静态路由。
DSL(config)#ip route 136.2.1.0 255.255.255.0 136.1.9.1Or DSL(config)#ip route 0.0.0.0 0.0.0.0 136.1.9.113、打开端口的trap开关Slot adsl 1 ;进入ADSL用户板位DSL(cfg-slot-adsl-1)# trap-control enable14、创建业务vlan,不打标签untag添加用户端口Add-vlan 3814DSL(config)# vlan 3814 1/1-32 untag14、设置某个ADSL用户板的全部用户端口pvidDSL(cfg-slot-adsl-1)# pvid 3814 (设置一槽位端口pvid 为3814,pvid值和vlan值相同)设置某个adsl端口的PVIDInterface adsl 1/1 ;进入adsl端口1卡1Pvid 3814设置某个以太网端口的PVIDInterface ethe 16/1 ;进入ethe端口16的1Pvid 381415、改用户端pvcDSL(cfg-slot-adsl-1)#atm pvc 8:81如14步,也可以修改单个端口的PVC16、绑定端口速率DSL(cfg-slot-adsl-1)#adsl profile def1m如14步,也可以修改某个端口的profile。
ZXDSL 9210开局步骤( 详细过程)(2)
ZXDSL 9210开局步骤带内网管通过上联卡上联1.通过串口电缆连接ZXDSL 9210到PC机,配置PC机超级终端,登录ZXDSL 9210。
2.开通业务之前下erase命令将数据清空。
清空后需要重启设备才能生效。
DSL# erase3.带内MAC地址出厂缺省是唯一的,所以不需要设置。
4.进入config模式,设置网管VLAN,本例设为4。
DSL# configureDSL(config)# add-vlan 45.将上行口添加到网管vlan中,并且打tag。
DSL(config)# vlan 4 16/5 tag6.(选择)或根据组网需要,上行口放在网管VLAN中不打tag。
DSL(config)# vlan 4 3/1 untag7.(选择)对于不打tag上行的情况,需要将端口的pvid设成网管VLAN ID。
DSL(config)# interface ethernet 3/1DSL(cfg-if-eth-3/1)# pvid 1008.用ip subnet命令设置设备的带内IP地址,需要对全网各个网元的IP地址进行规划,保证网元的IP地址不发生冲突,同时要保证同一个网元的带内网口和带外网口的IP不在同一网段。
DSL(config)#ip subnet 192.10.10.1 255.255.255.0 1009.用snmp-server host命令设置网管服务器IP,同时设置该网管服务器的认证字,系统默认认证字为private的团体的权限为读写。
通过设置可使网管告警信息上告到网管服务器。
DSL(config)#snmp-server host 192.10.10.200 private10.打开端口的trap开关。
端口默认trap开关为disable,可以对单板进行操作以打开该单板上所有端口的trap开关。
DSL# configure slot adsl 2DSL(cfg-slot-adsl-2)# trap enable11.(可选)如果在网管组网中网元和网管服务器不在同一网段,需要用ip route命令配置静态路由。
9210开局及查看数据
9210开局及数据查看Login: edsl / vdslPassword: edsl / vdslenablepassword: zxedsl //超级用户模式Dsl# erase //清空数据Dsl# reboot //重启Dsl# config //进入配置模式Dsl $ system hostname NT-BY-D-9210-04 //系统命名Dsl(config)# show card //查看各单板情况Dsl(config)# card slot n cardtype Asikb //n槽加板卡Dsl(config)# add-vlan 11 //创建网管VlanDsl(config)# ip subnet 58.221.234.5 255.255.255.0 11 //设定网元Ip地址Dsl(config)#ip route 0.0.0.0 0.0.0.0 58.221.234.1 //配置网管路由DSL(config)#snmp-server host 10.162.2.66 private //设置网管服务器IP地址和认证字DSL(config)#snmp-server host 202.102.8.171 privateDSL (config)$ access-list enable //打开访问控制列表DSL(config)$ access-list 1 ip-address 222.184.253.0 mask 255.255.255.192 // 配置访问控制列表业务配置Dsl(config)# add-vlan 101-820 //创建业务VlanDsl(config)# vlan 11 16/1 tag //网管Vlan上行口打标签Dsl(config)# vlan 101-820 16/1 tag //业务Vlan上行口打标签Dsl(config)# vlan 101-148 1/1-48 untag one-to-one //配置端口与Vlan一一对应,不打标签Dsl(config)# vlan 149-196 2/1-48 untag one-to-oneDsl(config)# slot adsl 1 //进入一槽用户板Dsl(cfg-slot-adsl-1)# pvid 101-148 pvc1 //设置用户的PvidDsl(cfg-slot-adsl-1)#atm pvc 0:100 pvc1 //用户端口的Pvc配置DSL(cfg-slot-adsl-2)# trap enable //打开用户端口的trap开关Dsl(config)#pvlan-mode disable //关闭Pvlan模式(可选)Dsl(config)#Pvlan enable //打开PVLAN模式(可选)Dsl(config)#pvlan-mode uplink-port-group 16/1 //配置PVLAN上行口Dsl(config)#adsl-profile p2 //创建Adsl模版,限速Dsl(config)#slot dsl-mpvc 1 //进入单板Dsl(cfg-slot-adsl-1)# adsl-profile p2 //应用模版到单板日常数据查看配置操作136.2.7.7 //带外地址DSL#show ip route 查看路由DSL#show system //显示系统信息DSL#show version //显示系统版本信息DSL#show ip subnet //显示带内带外IP地址、MAC地址和掩码地址DSL#show adsl status slot 1 //查看单板所有端口同步等状况DSL $ show ftp-server //查看FTP服务信息DSL $ ftp-server username webnms password webnms //设置FTP用户名、密码NT-GP-D-9210-04$ copy running-config networkPlease input new FTP server IP address: 222.184.253.7Please input new file name(*.cfg): gp9210-04.cfgUpload start:.....Load has finished. //备份配置数据至FTP服务器Dsl $ show card //查看板卡Dsl # show interface 1/1 //查看端口链路信息Dsl # show vlan 101 //查看VLAN对应端口Dsl(config)interface dsl-mpvc 1/1 //进入端口Dsl (cfg-if-dsl(m)-1/1)$ no shutdown //打开端口Dsl (cfg-if-dsl(m)-1/1)$shutdown //关闭端口Dsl # show adsl profile //显示线路模版Dsl $ show adsl status interface 1/1 //显示链路情况(线路模版等)Dsl $ show adsl physical 1/4 //查看端口线路参数DSL $ show fdb slot 1 //查看单板端口学习MAC地址DSL $ show fdb 1/1 //查看某个端口学习MAC地址DSL $ show atm pvc interface 1/1 //查看端口PVC配置DSL (cfg-if-dsl(m)-1/1)$ atm status enable (disable )pvc4 //打开(关闭)PVCDSL $ show interface 16/7 //查看上联口DSL $ reset card 1 //重启单板DSL$ reboot //重启整个设备(慎用)DSL (config)$ time //设置系统时间DSL (config)# history upload 222.184.253.55 //下载历史用户操作命令日志(需启用FTP)DSL(config)$ log upload 222.184.253.55 //下载历史访问用户清单。
地州级9210局域网中X.32路由的配置
地州级9210局域网中X.32路由的配置
刘皑国
【期刊名称】《沙漠与绿洲气象》
【年(卷),期】2001(024)003
【摘要】@@ 作为9210工程卫星通信线路的备份线路,各地州局9210网络均配备了X.32同步拨号分组交换网线路.区局9210工程办的统一设计方案是:X.32网卡安装在SC0 UNIX节点机上,主要用于实时气象电报传输.因此,X.32线路除在转报时间使用外,其余大部分时间处于空闲.由于该线路缴费方式采用包月制,无论从经济角度考虑,还是从线路利用率角度考虑,该线路都具有较大的开发、利用空间,实际运行成本可以大大降低.
【总页数】1页(P44)
【作者】刘皑国
【作者单位】喀什地区气象局,新疆,喀什,844000
【正文语种】中文
【中图分类】TP3
【相关文献】
1.局域网中多台宽带路由器互联的配置方法 [J], 石红春
2.局域网中的路由器原理及路由协议 [J], 李进
3.如何使局域网中的Windows机器使用X.32分组交换网 [J], 刘皑国
4.地区电力调度数据网中路由器的路由策略及安全策略配置研究 [J], 郭抒然; 谢超
5.浅谈无线局域网中的路由器配置方法 [J], 卿娟
因版权原因,仅展示原文概要,查看原文内容请购买。
9210磁盘阵列的安装及配置
9210磁盘阵列的安装及配置
汤宁;汪君勇;袁顺
【期刊名称】《贵州气象》
【年(卷),期】2007(31)2
【摘要】对中国气象局9210项目磁盘阵列安装及配置进行详细讲解,以供各单位维护服务器磁盘阵列相关人员参考.
【总页数】2页(P31-32)
【作者】汤宁;汪君勇;袁顺
【作者单位】贵州省气象信息中心,贵州,贵阳,550002;贵州省气象信息中心,贵州,贵阳,550002;贵州省气象信息中心,贵州,贵阳,550002
【正文语种】中文
【中图分类】TP311.53
【相关文献】
1.铁路工程中磁盘阵列的安装配置技巧 [J], 曾华军
2.地市级9210节点机系统安装注意问题 [J], 丛美环;崔宜少;谢红娟
3.地(市)级9210应用软件的安装与维护 [J], 符凤平
4.地州级9210局域网中X.32路由的配置 [J], 刘皑国
5.9210工程地(市)级SCO UNIX5.0.4系统安装后的调试和若干注意问题 [J], 肖云;徐海明;杜惠良;陈春晓
因版权原因,仅展示原文概要,查看原文内容请购买。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
南站9210(version:5.0.0f)配置步骤
第一步:清空数据
Login:edsl //用户名
password::edsl //密码
DSL#enable //进入超级模式
DSL$zxedsl //超级密码
DSL$erase //清空数据
DSL$reboot //重启设备
Are you sure to reset the device? [y/n]y
第二步:添加单板并指定单板类型(主控板不用指定)
DSL(config)#card slot 1 card-type atig
DSL(config)#card slot 2 card-type atig
DSL(config)#card slot 3 card-type atig
DSL(config)#card slot 4 card-type atig
DSL(config)#card slot 5 card-type atig
DSL(config)#card slot 6 card-type atig
DSL(config)#card slot 7 card-type atig
DSL(config)#card slot 8 card-type atig
DSL(config)#card slot 9 card-type atig
第三步:启用pvlan模式
Dsl#config //进入全局模式
DSL(config)#pvlan-mode enable //启用pvlan模式
If input 'y',The pvlan mode would be set enable!
Are you sure ?[y/n]:y
Rebooting system is suggested to apply the change you made.
DSL(config)#exit
DSL #
第四步:创建vlan
DSL #config
DSL(config)#add-vlan 100,1001-1160 //创建网管VLAN和业务VLAN DSL(config)#vlan 100 16/7 tag //网管VLAN与上联口绑定打tag DSL(config)#vlan 1001-1160 16/7 tag //业务VLAN与上联口绑定打tag DSL(config)#vlan 1001-1160 1-5/1-32 untag one-to-one //每个端口绑定一个VLAN DSL(config)#exit
Dsl#
第五步:设定网元带内IP地址
DSL #config
DSL(config)#ip subnet 222.245.128.24 255.255.255.0 100
第六步:配置路由
DSL(config)#ip route 10.12.4.20 255.255.255.0 222.245.128.1
DSL(config)#exit
第七步:设定网管服务器和认证字(选配)
DSL(config)#snmp-server host 10.12.4.20 private
第八步:设置单板的信息
DSL #config
DSL(config)#slot adsl 1 //进入单板
DSL(cfg-slot-adsl-1)#control-trap enable
DSL(cfg-slot-adsl-1)#atm pvc 1 0:35 //创建PVC
DSL(cfg-slot-adsl-1)#pvid 1001-1032 pvc1 //创建PVID
DSL(cfg-slot-adsl-1)#adsl profile DEF2M.PRF //绑定线速文件模版
DSL(cfg-slot-adsl-1)# exit
DSL(config)#exit
第九步:保存数据
DSL#enable
DSL$zxedsl
DSL$ copy running-config startup-config //保存数据
Are you sure to save configuration data? [y/n]y
Save finished successfully.
DSL #
第十步:测试网管
DSL #ping 222.245.128.1 // 测试网关连通性
PING 222.245.128.1: 56 data bytes
64 bytes from 222.245.128.1: icmp_seq=0. time=70. ms
64 bytes from 222.245.128.1: icmp_seq=1. time=20. ms
64 bytes from 222.245.128.1: icmp_seq=2. time=20. ms
----222.245.128.1 PING Statistics----
3 packets transmitted, 3 packets received, 0% packet loss
round-trip (ms) min/avg/max = 20/36/70
Ping 222.245.128.1 successfully.
DSL #ping 10.12.4.20 // 测试网管连通性
PING 10.12.4.20: 56 data bytes
64 bytes from 10.12.4.20: icmp_seq=0. time=0. ms
64 bytes from 10.12.4.20: icmp_seq=0. time=10. ms
64 bytes from 10.12.4.20: icmp_seq=1. time=0. ms
----10.12.4.20 PING Statistics----
2 packets transmitted,
3 packets received, -50% packet loss
round-trip (ms) min/avg/max = 0/3/10
Ping 10.12.4.20 successfully.
第十二步:测试业务
1、通过SCBF板上联小卡电口,用直联网线连接笔记本电脑,拨号测试;
DSL(config)#interface ethernet 16/1
DSL(config)#pvid 1001
2、通过端子板打线测试用户板,连接MODEM再用直联网线连接笔记本电脑,拨号测试;第十三步:查看相关配置数据
DSL# show card //查看单板信息
DSL# show mac //查看带内、带外MAC地址
DSL# show ip subnet //查看带内、带外IP地址
DSL#show ip route //查看路由表信息
DSL# show interface 16/1 //查看端口信息
DSL# show vlan //查看VLAN信息
DSL# show vlan 1001 //查看VLAN信息
DSL# show running-config
绑定端口举例:(将第5块板子第6个端口V ALN2000中删除加入到VLAN3000中DSL(config)#add-vlan3000,2000
DSL(config)#no vlan 2000 5/6
DSL(config)#vlan 3000 5/6 untag (将第5块板子第6个端口加入到VLAN3000中) DSL(config)#interface adsl 5/6
DSL(config)#pvid 3000。