课程设计——6位数字频率计

合集下载

六位数显频率计数器

六位数显频率计数器

目录一、前言---------------------------------------------------------------------------------------------------------------1.1 课程设计任务----------------------------------------------------------------------------------------------1.2设计目的------------------------------------------------------------------------------------------------二、方案的提出与论证---------------------------------------------------------------------------------------------2.1频率测量的原理和方法---------------------------------------------------------------------------------------三、系统硬件设计---------------------------------------------------------------------------------------------------3.2 A T89C51单片机芯片的功能及其参数-------------------------------------------------------四、系统软件设计---------------------------------------------------------------------------------------------------4.1软件流程图及编写程序 -------------------------------------------------------------------------------------4.2软件减小测量误差的办法 ----------------------------------------------------------------------------------五、实验总结--------------------------------------------------------------------------------------------------------- 附录一:参考文献---------------------------------------------------------------------------------------------------一、前言1.1 课程设计任务本课程设计主要任务是设计一个频率计数器,其主要功能如下:利用AT89C51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过6位动态数码管显示出来。

数字计频器课程设计-六位数字计频器设计

数字计频器课程设计-六位数字计频器设计

数字计频器课程设计2008.12.29六位数字计频器设计一、数字频率计测频率基本原理数字频率计的基本原理就是测量单位时间内脉冲信号的个数,即,f=N/T其中,f是被测信号的频率,N是计数器累加的脉冲个数,T是测量时间。

基本原理如下图所示:(1)时基单元包括振荡器和分频器,用来产生周期为1s的脉冲信号,称为时基信号。

(2)控制电路其一得到宽度为1s 的方波,称为闸门信号,其二在每次取样后封锁主控门和时基信号的输入门(3)计数单元把通过主控门的被测信号输入计数器、寄存器、译码器和显示器。

(4)延时单元数据显示一段时间,其时间的长短取决于延时电路,然后对计数器进行清零,重新进行测量。

(5)主控门起控制被测信号通过的作用,在取样时间内主控门打开,清零和显示时间内主控门关闭。

(6)输入单元将接受的各种信号放大、整形,变换为脉冲信号。

二、数字频率计主要技术指标1、频率测量范围在输入电压符合规定要求值时,能够正常进行测量的频率区间称为频率测量范围。

频率测量范围主要由放大整形电路的频率响应决定。

本方案的测量范围是1-999999Hz。

2、数字显示位数频率计的数字显示位数决定了频率计的分辨率。

位数越多,分辨率越高。

本方案的显示位数为6位3、测量时间频率计完成一次测量所需要的时间,包括准备、计数、锁存和复 位时间。

三、 计频器功能 1、 一秒显示一秒清零; 2、 显示两秒清零一秒; 3、 消隐功能;4、 能够实现开关和清零功能; 四、 设计环境本方案是以max+plus 2.0为设计和仿真平台,GW48-CK 实验开发系 统为应用平台。

五、 方案及原理图实验方案选择试验指导书提供的例题——二位十进制的频率计频 器的类似的设计方案,以下将给出电路设计图并予以说明。

A 、频率显示1s ,0显示1sI 、两位计数器原理图及说明说明:74390连接成两个独立的十进制计数器,待测信号elk 通过一个与门ICLA1QA.KCLKA 1Q9 UCLKB1QC 1W2CLR 2QB2CLKA 2X 迪KB 20C]烦叮二兰壬躍誓听―石DI喝DUAL tXJUhfTfR-------------电路进入74390计数器1的时钟输入端1CLKA,与门的另一端接使能enb当enb=1时能够计数,enb=0时禁止计数。

EDA设计 六位频率计的设计 精品

EDA设计 六位频率计的设计 精品

EDA技术课程设计报告六位频率计的设计一概述1.1设计背景及意义技术是以大规模为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计,通过有关的开发软件,自动完成用软件设计的系统到硬件系统的设计,最终形成集成电子系统或专用的一门新技术。

其设计的灵活性使得EDA技术得以快速发展和广泛应用。

在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。

由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。

因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。

频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。

在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。

在计算机及各种数字仪表中,都得到了广泛的应用。

在CMOS电路系列产品中,数字频率计时量程最大、品种很多的产品,是计算机、通讯设备、音频视频的科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系。

因此,频率的测量就显得更为重要。

本设计设计6位频率计,以触发器和计数器为核心,由信号输入、触发、计数、数据处理和数据显示等功能模块组成。

本次采用QuartusII的宏元件和VHDL 语言设计两种方法来设计6位频率计,提高了测量频率的范围。

1.2设计任务与要求1.21设计任务:采用原理图设计并制作六位十进制频率计,用VHDL语言方法设计并制作六位十六进制频率计。

1.22设计要求:a)参考信号频率为1Hz;b)测量频率范围:六位十进制频率计:1Hz~100kHz;六位十六进制频率计:1Hz~4MHz;c)结果能用数码显示器显示二六位频率计的工作原理2.1频率计的设计框图数字频率计的关键组成部分包括测频控制、、锁存器、译码驱动和显示电路,其原理框图如图1所示。

课程设计数字频率计

课程设计数字频率计

课程设计数字频率计一、课程目标知识目标:1. 理解并掌握数字频率计的基本原理与功能,了解其在实际生活中的应用。

2. 学会使用特定软件或工具进行数字频率计的设计与仿真。

3. 掌握基本的计数、计时方法,并将其应用于数字频率计的搭建。

技能目标:1. 能够运用已学知识,设计并搭建一个简单的数字频率计,培养动手操作能力和问题解决能力。

2. 能够运用逻辑思维,分析并优化数字频率计的设计方案,提高创新意识和团队协作能力。

3. 能够熟练运用相关软件或工具进行数字频率计的仿真实验,提高计算机操作技能。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学习热情,形成积极的学习态度。

2. 培养学生的团队合作精神,学会倾听、交流、分享,增强集体荣誉感。

3. 使学生认识到科技对社会发展的作用,提高社会责任感和使命感。

本课程针对初中年级学生,结合电子技术课程内容,以数字频率计为主题,旨在培养学生的动手操作能力、问题解决能力和创新意识。

在教学过程中,注重理论与实践相结合,让学生在实际操作中掌握知识,提高技能,同时注重情感态度价值观的培养,使学生在学习过程中形成积极向上的人生态度。

通过本课程的学习,学生能够达到上述课程目标,为后续相关知识的学习奠定基础。

二、教学内容1. 理论知识:- 数字频率计的基本原理与功能- 频率的定义及测量方法- 计数器、定时器的工作原理2. 实践操作:- 数字频率计的硬件组成与电路设计- 软件仿真工具的使用方法- 设计并搭建数字频率计的实验步骤3. 教学大纲:- 第一阶段:数字频率计基本原理学习(1课时)- 理解频率概念,掌握频率测量方法- 了解数字频率计的基本原理与功能- 第二阶段:硬件组成与电路设计(2课时)- 学习数字频率计的硬件组成- 掌握计数器、定时器的工作原理- 分析并设计数字频率计电路- 第三阶段:软件仿真与实验操作(2课时)- 学习并掌握软件仿真工具的使用方法- 设计实验方案,搭建数字频率计- 进行仿真实验,验证设计效果4. 教材关联:- 本教学内容与教材中“电子技术基础”、“数字电路设计与应用”等章节相关。

EDA技术课程设计之六位频率计的设计

EDA技术课程设计之六位频率计的设计

目录一概述............................................................................................................................................. - 1 -(一)设计背景及意义 (1)(二)设计任务与要求 (1)二六位频率计的工作原理............................................................................................................. - 1 -三六位频率计的设计与仿真......................................................................................................... - 2 -(一)六位十进制频率计的设计与仿真 (2)(二)六位十六进制频率计的设计与仿真 (5)四调试过程、测试结果及分析 ..................................................................................................... - 8 -(一)六位十进制频率计的测试结果与分析 (8)(二)六位十进制频率计扩展功能的测试结果与分析 (9)(三)六位十六进制频率计的测试结果与分析 (10)五课程设计体会........................................................................................................................... - 11 -六参考文献................................................................................................................................... - 11 -六位频率计的设计一概述(一)设计背景及意义现代电子设计技术的核心已日益趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术。

数电课程设计数字频率计

数电课程设计数字频率计

1.概述数字频率计是通过一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。

通常是计算每秒内的脉冲个数,也就是我们所称的闸门时间为1秒。

闸门时间不定,但闸门时间影响频率计的准确度,闸门时间越长,得到的频率值就越准确,但闸门时间越长则没测一次频率的间隔就越长。

闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。

数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。

如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。

因此,数字频率计是一种应用很广泛的仪器。

本次课程设计中画图与仿真主要用到了Proteus软件,Proteus是一款电路分析实物仿真系统,可仿真各种电路和IC,元件库齐全,有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器。

具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真,使用和操作起来非常方便。

2.数字频率计原理与框图所谓频率,就是周期性信号在单位时间内变化的次数.若在一定时间间隔t 内测得这个周期性信号的重复变化次数为n,则其频率可表示为nft若在闸门时间1S内计数器计得的脉冲个数为n,则被测信号频率等于nHz。

数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。

它一般由放大整形电路、时基电路、逻辑控制电路、闸门电路、计数器、锁存器、译码器、显示器等几部分组成。

其基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。

通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。

计数信号并与锁存信号和清零复位信号共同控制计数、锁存和清零三个状态,然后通过数码显示器件进行显示。

图2-1 数字频率计整体框图2武汉理工大学《数字电子技术》课程设计说明书33.数字频率计的设计3.1 放大整形电路放大整形电路由晶体管 放大器与74LS00等组成,放大器将输入频率为的周期信号如正弦波、三角波等进行放大。

eda课程设计 6位频率计

eda课程设计 6位频率计

《 E D A 频率计》课程设计报告专业:班级:姓名:指导教师:年月日目录一、课程设计目的 (2)二、课程设计题目描述和要求 (2)三、课程设计报告内容 (2)四、总结 (8)附录 (9)参考书目 (10)引言在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更加重要。

数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。

随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL 等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。

一、课程设计目的熟悉各种软件如Quartus II 6.0的使用;通过EDA的试验设计,加深我们对FPGA的了解;熟悉FPGA的工作原理和试验环境,知道FPGA的开发流程;通过设计小型试验项目学会仿真和硬件测试的基本方法。

二、课程设计题目描述和要求2.1、课程设计题目描述1)设计一个能测量方波信号的频率的频率计。

2)测量的频率范围是1 999999Hz。

3)结果用十进制数显示。

4)按要求写好设计报告。

2.1、课程设计要求1)脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。

所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

2)被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3)再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。

4)时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。

5)f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6)当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。

6位数显频率计数器

6位数显频率计数器

1 绪论1.1 数字频率计数器的背景及意义在现代社会中,随着电子工业的发展,能够精确测量各种设备仪器中电路的频率、电压、电流等参数已越来越重要。

而传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。

频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。

正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。

在生产制造企业中,频率计被广泛的应用在生产线的生产测试中。

频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。

在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。

在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

我所设计的六位数显频率计数器,是基于ATMEL公司的AT89S51单片机,通过其T0与T1的定时与计数功能,来测量输入信号的频率,并通过六位动态数码管显示出来,并且尽可能使用最少的元器件,在满足性能要求的前提下,尽量节省成本,以期最大的性价比。

1.2设计目标与要求(1) 基于AT89S51单片机,设计一个精确测量输入信号频率的频率计数器(2)能够精确测量频率范围在0Hz—250kHz之间的输入信号(3) 测量误差不超过±1Hz(4) 使用六位数码管显示测量结果(5) 在满足性能的前提下,尽可能使用最少的、最廉价的元器件2设计原理及总体方案2.1频率计的基本原理频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。

通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。

闸门时间也可以大于或小于一秒。

闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。

闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。

数字频率计课程设计

数字频率计课程设计

数字频率计课程设计引言数字频率计是一种用来测量波形信号频率的仪器。

在本次课程设计中,我们将设计并实现一个基于微控制器的数字频率计。

在设计过程中,我们将使用Arduino开发板以及相应的传感器和电路组件。

本文档将介绍该课程设计的目标、设计思路、实现步骤以及预期的结果。

目标本次课程设计的目标是通过设计一个数字频率计来实现以下功能: 1. 测量输入的波形信号的频率。

2. 将测量结果以数字形式在液晶显示屏上显示。

设计思路1.硬件设计:•使用Arduino开发板作为主控制器。

•使用一个脉冲传感器作为输入信号源。

•使用一个液晶显示屏来显示测量结果。

2.软件设计:•使用Arduino编程语言编写程序。

•通过读取脉冲传感器的信号来计算输入信号的频率。

•将计算得到的频率值通过串口传输给液晶显示屏。

实现步骤1.硬件连接:•将脉冲传感器的输出引脚连接到Arduino开发板的数字输入引脚。

•将液晶显示屏的控制引脚连接到Arduino开发板的对应输出引脚。

2.软件编程: ```c // 引入LiquidCrystal库 #include<LiquidCrystal.h>// 定义液晶显示屏的引脚 LiquidCrystal lcd(12, 11, 5, 4, 3, 2);// 定义脉冲传感器的引脚 int pulsePin = 7;// 定义变量存储频率值 float frequency = 0;void setup() { // 初始化液晶显示屏 lcd.begin(16, 2);// 设置脉冲传感器引脚为输入状态 pinMode(pulsePin, INPUT);// 设置波特率为9600 Serial.begin(9600); }void loop() { // 定义变量存储脉冲计数值 int pulseCount = 0;// 计算脉冲计数值 while (pulseCount < 1000) { if (digitalRead(pulsePin) == HIGH) { pulseCount++; delayMicroseconds(100); } }// 计算频率值 frequency = pulseCount / 1000.0;// 在串口上发送频率值 Serial.println(frequency);// 清除液晶屏内容 lcd.clear();// 在液晶屏上显示频率值 lcd.setCursor(0, 0); lcd.print(。

数字频率计课程设计报告

数字频率计课程设计报告

数字频率计课程设计报告一、课程目标知识目标:1. 让学生理解数字频率计的基本原理,掌握频率、周期等基本概念;2. 使学生掌握数字频率计的使用方法,能够正确操作仪器进行频率测量;3. 引导学生运用已学的数学知识,对测量数据进行处理,得出正确结论。

技能目标:1. 培养学生动手操作仪器的技能,提高实验操作能力;2. 培养学生运用数学知识解决实际问题的能力,提高数据分析处理技能;3. 培养学生团队协作能力,提高实验过程中的沟通与交流技巧。

情感态度价值观目标:1. 培养学生对物理实验的兴趣,激发学习热情;2. 培养学生严谨的科学态度,养成实验过程中认真观察、准确记录的好习惯;3. 引导学生认识到物理知识在实际应用中的价值,提高学以致用的意识。

课程性质:本课程为物理实验课,结合数字频率计的原理与应用,培养学生的实践操作能力和数据分析能力。

学生特点:六年级学生具备一定的物理知识和数学基础,对实验操作充满好奇,具备初步的团队合作能力。

教学要求:结合学生特点,注重理论与实践相结合,以学生为主体,引导学生主动参与实验过程,培养其动手能力和解决问题的能力。

通过课程目标的分解,使学生在实验过程中达到预期的学习成果,为后续教学设计和评估提供依据。

二、教学内容1. 数字频率计基本原理:- 频率、周期的定义与关系;- 数字频率计的工作原理;- 数字频率计的测量方法。

2. 实验操作技能:- 数字频率计的操作步骤;- 实验过程中的注意事项;- 数据记录与处理方法。

3. 教学大纲:- 第一课时:介绍数字频率计的基本原理,让学生了解频率、周期的概念及其关系;- 第二课时:讲解数字频率计的工作原理,引导学生掌握其操作方法;- 第三课时:分组进行实验操作,让学生动手测量不同频率的信号;- 第四课时:对测量数据进行处理与分析,培养学生数据分析能力;- 第五课时:总结实验结果,讨论实验过程中遇到的问题及解决办法。

4. 教材章节:- 《物理》六年级下册:第六章《频率与波长》;- 《物理实验》六年级下册:实验八《数字频率计的使用》。

数字频率计课程设计

数字频率计课程设计

数字频率计课程设计
一、课程背景
数字频率计,又称计数频率,是一种统计运算工具,能根据数据中某一个特定值的出现频率来进行统计分析。

它能够快速分析出现在数据集中的相同值的出现次数,以及每种值的贡献出现的百分比。

数字频率计应用广泛,如在统计数据分析、市场营销中用于调研数据等,但由于它需要相当复杂的数学计算,它是一种极具挑战性的课题。

二、课程内容
1. 数字频率计的统计理论:介绍数字频率计学领域的基本概念、计算公式及可能出现的误差,以及假设检验等内容;
2. 数字频率计的应用举例:讨论典型场景下的应用实例,如抽市场调研抽样的计算方法以及相关的统计推导等;
3. 数字频率计的实战操作:掌握如何使用计算机处理数据,并实现数字频率计的计算;
4. 数字频率计的数学证明:引用数学原理及推导数学证明,以便深入理解数字频率计的原理。

三、教学与考核
1. 教学模式:以讲授、展示、实验、课堂练习等多种形式进行授课,以及通过学习资料、习题、在线课程等形式进行辅助教学;
2. 考试形式:结合课堂教学及辅助教学材料,在授课结束后举行考试,综合考查学生掌握的理论知识点和实际应用能力;
3. 教学评价:参与课堂的讨论及作业的提交,是对学生学习情况的重要指标。

良好考试成绩及活跃参与讨论的同学将获得较高分数。

6位频率计课程设计

6位频率计课程设计

6位频率计课程设计一、教学目标本课程的教学目标是使学生掌握6位频率计的基本原理、结构和应用,具备分析和解决相关问题的能力。

具体目标如下:1.知识目标:(1)了解6位频率计的原理和结构;(2)掌握6位频率计的调试和维护方法;(3)熟悉6位频率计在实际工程中的应用。

2.技能目标:(1)能够独立完成6位频率计的组装和调试;(2)能够运用6位频率计解决实际问题;(3)具备一定的创新能力,对6位频率计进行改进和优化。

3.情感态度价值观目标:(1)培养学生对电子技术的兴趣和热情;(2)树立学生自信心,培养学生克服困难的意志;(3)培养学生团队合作精神,提高学生沟通能力。

二、教学内容本课程的教学内容主要包括以下几个部分:1.6位频率计的基本原理;2.6位频率计的结构和组成;3.6位频率计的调试和维护方法;4.6位频率计在实际工程中的应用;5.6位频率计的创新和改进。

三、教学方法为了提高教学效果,本课程将采用以下教学方法:1.讲授法:讲解6位频率计的基本原理、结构和应用;2.讨论法:学生讨论6位频率计的调试和维护方法;3.案例分析法:分析实际工程中6位频率计的应用案例;4.实验法:引导学生动手实践,完成6位频率计的组装和调试。

四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将准备以下教学资源:1.教材:为学生提供权威、实用的教材,帮助学生系统地学习6位频率计的知识;2.参考书:提供相关领域的参考书籍,拓展学生的知识面;3.多媒体资料:制作精美的PPT、视频等多媒体资料,提高学生的学习兴趣;4.实验设备:准备充足的实验设备,确保每个学生都能动手实践,提高操作技能。

五、教学评估本课程的教学评估将采用多元化的方式,以全面、客观、公正地评价学生的学习成果。

评估方式包括:1.平时表现:通过课堂参与、提问、回答问题等环节,评估学生的学习态度和积极性;2.作业:布置适量的作业,评估学生的理解和应用能力;3.实验报告:评估学生在实验过程中的操作技能和分析问题的能力;4.考试成绩:通过期末考试,评估学生对课程知识的掌握程度。

数字频率计课程设计

数字频率计课程设计

数字频率计课程设计数字频率计是一种非常重要的测量工具,广泛应用于电子工业、通讯工业以及制造业等领域。

数字频率计通过测量电路中的信号频率来实现不同参数的测试与监测,其准确性和稳定性对于工程领域的研究和发展十分关键。

本文将重点介绍数字频率计的课程设计,探讨如何设计电路,实现数据传输和实时监测等应用。

一、课程设计目标数字频率计课程设计的主要目标是在生产及实际应用环境中,通过培训学生,掌握实验室常用的数字频率计技术,了解数字频率计的原理和基本结构,并利用前沿的技术手段设计和实现数字频率计电路,提高学生的创造力和应用技能。

二、设计思路数字频率计的主要核心部件是计数器和稳幅环,这些部件通过统计信号波形中某个时间内的脉冲数量,来计算出信号的周期和频率。

计数器是用来记录信号脉冲的个数,而稳幅环则可以把信号的幅度调节到一个合适的范围内。

基于此思路,我们可以设计如下的数字频率计电路:1.信号调制电路。

我们需要一个可以随时控制信号频率的调制电路。

这个电路可以选择使用集成电路,比如CD4016、CD4066等双四通开关,来实现频率的调节和切换。

2.信号放大电路。

信号放大电路是用来扩大信号幅度,提高电路的灵敏度以及准确度。

我们可以选择使用开关型放大器(SW amplifier)或运算放大器(OP amplifier)来实现信号的放大。

3.计数器。

计数器可以实现对输入信号的频率统计和计数。

我们可以使用CD4040或CD4060等集成电路,通过它们提供的分频功能,快速实现计数的操作。

4.显示器和控制器。

这个承担数字频率计的显示和调控功能。

可以选择使用LED或OLED等显示器,在页面上实时显示所测出的数据,方便使用者观察。

三、具体实现在实际电路的设计中,我们可以选择使用各种器件,例如数字信号调制芯片、差分放大器、计数电路和显示器等。

我们可以通过直接组装和布线的方式,将它们连接在一起,并使用面包板或印刷电路板等载体进行固定。

在实验中,我们可以利用函数信号发生器作为数字频率计的输入源,通过不断调整方式,提高完成器件间的传输,并逐渐实现对信号的稳定控制。

数字频率计的课程设计

数字频率计的课程设计

引言近年来, 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要.在电子系统非常广泛应用领域内, 到处可见到解决离散信息的数字电路。

供消费用的微波炉和电视、先进的工业控制系统、空间通讯系统、交通控制雷达系统、医院急救系统等在设计过程中无一不用到数字技术。

数字电路制造工业的进步, 使得系统设计人员能在更小的空间内实现更多的功能, 从而提高系统可靠性和速度。

数字集成电路具有结构简朴(如其中的晶体管是工作于饱和与截止2种状态, 一般不设偏置电流)和同类型电路单元多(如一个计数系统需要很多同类型的触发器和门电路)的特点, 因而容易是高集成度和归一化。

由于数字集成电路与电子计算机的发展紧密相关, 因而发展不久, 目前已是集成电路中产量最高、集成度最大的一种器件。

集成电路的类型很多, 从大的方面可分为模拟和数字集成电路两大类。

虽然它们都可模拟具体的物理过程, 但其工作方式有着很大的不同。

甚至也许完全不同。

电路中的工作信号通常是用电脉冲表达的数字信号。

这种工作方式的信号, 可以表达2种截然不同的现象。

如以有脉冲表达“1”, 无脉冲便表达“0”;以“1”表达“真”, 则“0”便表达“假”, 等等。

反之亦然。

这就是“数字信号”的含义。

所以, “数字量”不是连续变化的量, 其大小往往并不改变, 但在时间分布上却有着严格的规定, 这是数字电路的一个特点。

数字式频率计基于时间或频率的A/D转换原理, 并依赖于数字电路技术发展起来的一种新型的数字测量仪器。

由于数字电路的飞速发展, 所以, 数字频率计的发展也不久。

通常能对频率和时间两种以上的功能数字化测量仪器, 称为数字式频率计(通用计数器或数字式技术器)。

在电子测量技术中, 频率是一个最基本的参量, 对适应晶体振荡器、各种信号发生器、倍频和分频电路的输出信号的频率测量, 广播、电视、电讯、微电子技术等现代科学领域。

6位数字频率计

6位数字频率计

数字频率计目录一、设计任务书二、设计框图及整体概述三、各单元电路的设计方案及原理说明四、结果分析五、体会和总结附录一、电路设计总图附录二、50MHz变成2Hz的模块VHDL语言源程序附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表第页一、设计任务书设计一个6位数字频率计,测量范围为000000~999999;应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图;应用FPGA实验开发板下载设计文件,实现电路的功能。

二、设计框图及整体概述1.设计框图2、主要芯片及作用T触发器:将2HZ的频率翻转成1HZ。

74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。

74374:是8位的锁存器,可以选用3个来设计24位的锁存器。

74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。

7448:是BCD—7段译码器,用来显示测量结果。

3、设计原理说明数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。

因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。

50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。

有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。

三、各单元电路的设计方案及原理说明 1. 时钟分频模块VCCclk_50mINPUT clk_1hzOUTPUTclk1clkfenpininstPRNCLRN TQTFFinst2VCC时钟分频原理图原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。

课程设计数字频率计

课程设计数字频率计

课程设计数字频率计一、教学目标本课程旨在通过数字频率计的学习,让学生掌握以下知识目标:理解数字频率计的基本原理和构成;掌握数字频率计的各部分电路及其功能;了解数字频率计在工程和科学研究中的应用。

技能目标为:能够熟练使用数字频率计进行频率测量;能够分析并解决数字频率计使用中遇到的问题。

情感态度价值观目标为:培养学生对电子技术的兴趣和好奇心,激发学生探索科学的热情。

二、教学内容本课程的教学内容主要包括数字频率计的基本原理、构成及其各部分电路的功能,数字频率计的使用方法,以及数字频率计在实际工程和科学研究中的应用。

具体涉及教材的第三章“数字频率计”,内容涵盖数字频率计的定义、分类、工作原理、主要技术指标、使用方法等。

三、教学方法为了提高教学效果,将采用多种教学方法相结合的方式进行教学。

包括:讲授法,用于讲解数字频率计的基本原理、构成及使用方法;讨论法,用于分析数字频率计在实际应用中遇到的问题;实验法,用于让学生亲自动手操作数字频率计,加深对知识的理解。

四、教学资源教学资源包括教材、实验设备、多媒体资料等。

教材为《电子技术基础》第三版,实验设备包括数字频率计、示波器等,多媒体资料包括教学PPT、视频等。

这些资源将有助于支持教学内容和教学方法的实施,提高学生的学习兴趣和效果。

五、教学评估本课程的评估方式包括平时表现、作业、考试等。

平时表现主要评估学生在课堂上的参与度、提问回答等情况;作业包括课堂练习和课后作业,主要评估学生的理解和应用能力;考试包括期中考试和期末考试,主要评估学生对课程知识的掌握程度。

评估方式将客观、公正,全面反映学生的学习成果。

六、教学安排本课程的教学安排如下:共32课时,每周2课时,共计16周。

教学地点为教室。

教学进度安排合理、紧凑,确保在有限的时间内完成教学任务。

同时,教学安排还考虑学生的实际情况和需要,如学生的作息时间、兴趣爱好等,以提高学生的学习效果。

七、差异化教学根据学生的不同学习风格、兴趣和能力水平,本课程将设计差异化的教学活动和评估方式。

课程设计数字频率计

课程设计数字频率计

课程设计 数字频率计一、课程目标知识目标:1. 学生能理解数字频率计的基本原理,掌握其电路组成和工作方式。

2. 学生能运用数学知识,计算出数字频率计的测量范围,并解释相关计算公式。

3. 学生能运用物理知识,解释数字频率计测量频率时的误差来源。

技能目标:1. 学生能够独立完成数字频率计的搭建,并进行简单的调试和测量。

2. 学生能够运用所学知识,解决实际测量中遇到的问题,提高动手操作能力和问题解决能力。

3. 学生能够通过小组合作,进行数字频率计的优化设计和创新改进。

情感态度价值观目标:1. 学生能够认识到数字频率计在实际应用中的重要性,激发对电子技术的学习兴趣。

2. 学生通过动手实践,培养团队协作意识,增强克服困难的信心和勇气。

3. 学生能够养成严谨的科学态度,注重实验数据的准确性和实验过程的完整性。

课程性质:本课程属于电子技术实践课程,以项目式教学为主,结合理论教学和动手实践。

学生特点:学生处于八年级,具有一定的数学、物理基础和动手能力,对电子技术有一定的好奇心和兴趣。

教学要求:注重理论与实践相结合,引导学生主动探究,培养创新意识和实践能力。

在教学过程中,关注学生的个体差异,因材施教,确保每个学生都能在课程中收获成果。

通过课程学习,使学生能够将所学知识应用于实际生活和未来学习。

二、教学内容1. 数字频率计基本原理:介绍频率计的作用,原理及其在电子测量中的应用,对应教材第3章第2节。

- 电路组成和工作方式- 频率测量方法及误差来源2. 数字频率计电路分析与搭建:分析数字频率计的电路结构,进行实际操作搭建,对应教材第3章第3节。

- 电路元件的识别与选用- 电路搭建步骤及注意事项3. 数字频率计的测量与调试:学习测量原理,进行实际测量和调试,对应教材第3章第4节。

- 测量范围计算与公式解释- 调试方法及技巧4. 数字频率计的优化与创新:针对现有频率计进行优化设计和创新改进,对应教材第3章第5节。

- 小组合作,讨论设计方案- 创新改进,提高测量精度和稳定性教学大纲安排:第1课时:数字频率计基本原理学习第2课时:数字频率计电路分析与搭建第3课时:数字频率计的测量与调试第4课时:数字频率计的优化与创新设计教学内容进度:第1-2周:学习基本原理,进行电路分析与搭建第3周:进行测量与调试,总结问题与经验第4周:优化设计与创新改进,展示成果与评价反思三、教学方法1. 讲授法:教师通过生动的语言和形象的表达,讲解数字频率计的基本原理、电路组成和测量方法,使学生系统地掌握理论知识,对应教材第3章第2-3节。

数字频率计课程设计

数字频率计课程设计

数字频率计 课程设计一、课程目标知识目标:1. 学生能理解数字频率计的基本原理,掌握其工作流程和计算方法。

2. 学生能掌握频率、周期、频率分辨率等基本概念,并运用这些概念分析实际问题。

3. 学生能通过实际操作,学会使用数字频率计进行频率测量,并准确读取数据。

技能目标:1. 学生能够运用所学知识,设计简单的数字频率计电路,提高动手实践能力。

2. 学生能够运用频率测量方法,解决实际生活中的问题,培养解决问题的能力。

3. 学生能够通过小组合作,进行数字频率计的搭建和调试,提高团队协作能力。

情感态度价值观目标:1. 学生通过学习数字频率计,培养对电子技术的兴趣,激发创新精神。

2. 学生在学习过程中,养成积极思考、主动探究的良好学习习惯。

3. 学生能够认识到数字频率计在实际应用中的重要性,增强社会责任感和使命感。

课程性质:本课程属于电子技术实践课程,注重理论与实践相结合,培养学生的动手能力和实际操作技能。

学生特点:六年级学生具有一定的电子技术基础,好奇心强,喜欢动手实践,但需加强对理论知识的学习。

教学要求:教师应注重启发式教学,引导学生主动探究,关注学生的个体差异,提高学生的实践能力和综合素质。

在教学过程中,将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容1. 理论知识:- 频率、周期、频率分辨率等基本概念及其相互关系;- 数字频率计的原理、工作流程和计算方法;- 数字频率计的电路组成和功能。

2. 实践操作:- 数字频率计的搭建与调试;- 频率测量方法及其在实际生活中的应用;- 小组合作进行数字频率计电路设计与优化。

3. 教学大纲安排:- 第一课时:回顾频率、周期等基本概念,介绍数字频率计原理及计算方法;- 第二课时:分析数字频率计的电路组成和功能,进行电路搭建与调试;- 第三课时:学习频率测量方法,开展实践操作,解决实际问题;- 第四课时:小组合作,设计并优化数字频率计电路,展示与交流。

6位数显频率计数器

6位数显频率计数器

6位数显频率计数器,1.实验任务利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。

要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。

2.电路原理图图4.31.1程序设计内容(1).定时/计数器T0和T1的工作方式设置,由图可知,T0是工作在计数状态下,对输入的频率信号进行计数,但对工作在计数状态下的T0,最大计数值为f OSC/24,由于f OSC=12MHz,因此:T0的最大计数频率为250KHz。

对于频率的概念就是在一秒只数脉冲的个数,即为频率值。

所以T1工作在定时状态下,每定时1秒中到,就停止T0的计数,而从T0的计数单元中读取计数的数值,然后进行数据处理。

送到数码管显示出来。

(2).T1工作在定时状态下,最大定时时间为65ms,达不到1秒的定时,所以采用定时50ms,共定时20次,即可完成1秒的定时功能。

3.C语言源程序#include <A T89X52.H>unsigned char code dispbit[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40}; unsigned char dispbuf[8]={0,0,0,0,0,0,10,10};unsigned char temp[8];unsigned char dispcount;unsigned char T0count;unsigned char timecount;bit flag;unsigned long x;void main(void){unsigned char i;TMOD=0x15;TH0=0;TL0=0;TH1=(65536-4000)/256;TL1=(65536-4000)%256;TR1=1;TR0=1;ET0=1;ET1=1;EA=1;while(1){if(flag==1){flag=0;x=T0count*65536+TH0*256+TL0;for(i=0;i<8;i++){temp[i]=0;}i=0;while(x/10){temp[i]=x%10;x=x/10;i++;}temp[i]=x;for(i=0;i<6;i++){dispbuf[i]=temp[i];}timecount=0;T0count=0;TH0=0;TL0=0;TR0=1;}}}void t0(void) interrupt 1 using 0 {T0count++;}void t1(void) interrupt 3 using 0 {TH1=(65536-4000)/256;TL1=(65536-4000)%256; timecount++;if(timecount==250){TR0=0;timecount=0;flag=1;}P0=dispcode[dispbuf[dispcount]]; P2=dispbit[dispcount]; dispcount++;if(dispcount==8){dispcount=0;}}。

1、高精度六位十进制频率计设计

1、高精度六位十进制频率计设计

1、高精度六位十进制频率计设计南京工程学院自动化学院大作业(论文)题目:高精度六位十进制频率计设计专业:测控技术与仪器班级:学号:学生姓名:任课教师:郭婧成绩:高精度六位十进制频率计设计一、基本要求:根据频率的定义和频率测量的基本原理,设计频率测量电路,并由外部6位10进制7段译码器显示出来。

假设系统具备1HZ标准信号源,考虑被测信号为高频或低频两种情况。

二、评分标准:1、设计方案介绍(共10分)要求:详细叙述频率测量方案(仅考虑被测信号为高频,假设系统具备1HZ 等各标准信号源)。

评分标准:9-10分:方案叙述详细,正确;7-8分:方案叙述较详细,基本正确;6分以下:酌情给分0分:抄袭别人2、VHDL设计部分(60分)要求:给出详细的VHDL设计过程,提供详细的程序代码,如果设计中用到LPM模块,则给出生成LPM模块的每一步操作流程的截图,并加以文字描述。

评分标准:54-60分:代码详细,截图完整,书写规范,48-53分:代码较详细,截图较完整,书写较规范;47以下:酌情给分0分:抄袭别人3、模拟调试部分(15分)要求:给出详细的仿真过程,对软件编译、仿真分析、仿真波形进行截图,并给出不同被测频率(模拟给出)情况下的仿真测试结果,给出详细的实验结果分析。

评分标准:14-15分:调试过程详细,正确,截图完整;12-13分:调试过程较详细,基本正确,有截图;12分以下:酌情给分0分:抄袭别人4、提高部分(15分)要求:如果被测信号频率降低(即被测信号为低频的情况),或者被测信号频率变化范围较大,则如何提高测量精度?可以仅写出测量方案,也可以进一步设计VHDL代码、仿真调试,可以采取各种方法,甚至可以加上单片机辅助,假设系统提供100MHZ的标准信号源。

评分标准:根据完成的程度给分。

0分:抄袭别人。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电子技术课程设计报告题目:6位数字频率计
学年:2009-2010 学期: 2
专业电子信息工程班级:
学号:姓名:
指导教师:
时间:2010 年 3 月8日~2010 年 3 月11 日
浙江万里学院电子信息学院
浙江万里学院电子信息学院课程设计报告
一、设计任务书
设计一个6位数字频率计,测量范围为000000~999999;
应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图;
应用FPGA实验开发板下载设计文件,实现电路的功能。

二、设计框图及整体概述
1.设计框图
2、主要芯片及作用
T触发器:将2HZ的频率翻转成1HZ。

74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。

74374:是8位的锁存器,可以选用3个来设计24位的锁存器。

74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。

7448:是BCD—7段译码器,用来显示测量结果。

3、设计原理说明
数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。

因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。

50MHz时钟信号通过模块VHDL语言源程序变成2Hz的时钟信号,通过T触发器将2HZ翻转成1HZ,1HZ经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。

有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。

三、各单元电路的设计方案及原理说明
1. 时钟分频模块
时钟分频原理图
原理:50MHz时钟信号通过模块VHDL语言源程序变成2Hz的时钟信号。

将T触发器的T端接高电平,T触发器则转化为T’触发器,2HZ的脉冲通过它变为1HZ。

2. 时序产生模块
时序产生原理图
原理:脉冲通过T’触发器再次翻转后又变为0.5HZ,EN就是触发器Q输出的脉冲,得到1秒脉宽的高电平,提供给计数模块工作;CLK是触发器Q输出的脉冲经过非门之后的脉冲,也得到1秒脉宽的高电平,提供给锁存模块工作;CLR是经过非门之后的脉冲和1HZ的脉冲经过非门之后再经过与门之后输出的脉冲,所以当输入的1HZ脉冲为低电平和CLK的脉冲为高电平时为高电平,得到0.5秒脉宽的高电平,用于计数器清零。

1Hz的时钟经过分频产生以下3个电平信号:1秒脉宽的高电平,提供给计数模块工作;1秒脉宽的高电平,提供给锁存模块工作;0.5秒脉宽的高电平,用于计数器清零。

3.6位十进制计数模块
6位十进制计数原理图
原理:将74192的UP端接脉冲(该脉冲由EN和外来脉冲CLK通过与门得到,当EN 和CLK脉冲都为高电平时得到),DN端接高电平为加法器,将CON端接下一个74192的UP端,进行进位。

1个74HC192能实现0~9的计数功能,取6个74HC192可以连成0~999999的计数。

工作时,当外来脉冲停止或EN为0,CLK为1,CLR为1,计数器停止计数,同时CLR工作,数据清零。

4. 24位数据锁存模块
24位数据锁存原理图
原理:74374是三态反相八D锁存器,有一个被测信号输入端CLK,OEN是输入使能端,低电平有效,所以要接地。

由于要锁存24位,则要用3片74374锁存器,就是将6片74192输出的测量数据都锁存起来,则将74374的八个输入端分成2组。

当CLK为高电平时锁存器工作,将数据锁定,将计数器输出的测量数据暂存起来,并提供给数码管显示。

5. 数码管译码模块
数码管译码原理图
原理:7448是共阴数码管,高电平驱动。

LTN是测试灯。

RBIN,BIN是消影,低电平有效所以都接高电平。

频率器是要用6个共阴数码管来显示的,但开发的FPGA 实验板上有4个数码管已经配置好CD4511译码器,剩下的两个是7位二进制直接驱动的,因此只需要将锁存器输出的4位二进制数进行译码,就选用了2个7448译码器,
四、结果分析
各个模块分别编译成功后,新建一个文件夹,将各模块有用的文件加入新建的文件夹中。

然后重新建立工程,画出电路设计总图,编译成功后,将原理图中各个引脚与FPGA实验开发板EP2C5T144C8芯片管脚锁定表中相符编写好,再编译一次成功后下载到实验开发板进行测试。

测试时选择不同的频率,使数码管从0~999999显示。

如果数码管显示位置与显示器位置不同,则问题可能是各模块之间连接出错,或芯片管教编错。

若显示器显示都为零,则可能是时钟分频模块或时序模块出错,或是某处引脚没编号。

五、体会和总结
一周的数电课程设计课,让我学会了很多,使我更加了解了QuartusII_7.2软件的功能及使用方法,同时也加深了自己对数电专业知识方面的认识。

刚开始上课时,老师跟我们说了这门课的要求是设计一个6位数字频率计,我听了以后觉得很难,感觉无从下手。

后来照着老师的要求,先画一个设计草图,考虑好用那些芯片,再分别用QuartusII_7.2软件以自底向上层次化设计的方式设计电路的每个模块,各模块编
译仿真成功后,再把每个模块连接起来,画出电路总原理图。

在老师的带领下,我经过反复的练习,终于把6位数字频率计设计好了。

通过这一周的学习,我认识到要设计一种东西,不仅需要掌握一定的知识,耐心和细心也是必不可少的。

这次课程设计,同时也加强了我们动手、思考和解决问题的能力。

附录一:电路设计总图
附录二、50MHz变成2Hz的模块VHDL语言源程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity clk50Mto2Hz is
port (clk50M : in std_logic;clk2Hz: out std_logic);
end clk50Mto2Hz;
architecture aa of clk50Mto2Hz is
signal count_5000: integer range 0 to 4999;
signal count: integer range 0 to 2500;
signal clk_10Khz,clk_2Hz :std_logic;
begin
process(clk50M)
begin
if clk50M'event and clk50M='1' then
if count_5000=4999 then
count_5000<=0;
clk_10Khz<='0';
else
count_5000<=count_5000+1;
clk_10Khz<='1';
end if;
end if;
end process;
process(clk_10Khz)
begin
if clk_10Khz'event and clk_10Khz='1' then
if count=2499 then
count<=0;
clk_2Hz<=not clk_2Hz;
else
count<=count+1;
end if;
end if;
end process;
clk2Hz<=clk_2Hz;
end aa;
附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表。

相关文档
最新文档