EDA电梯控制器的
eda课程设计30个题目
1数字频率计1)设计一个能测量方波信号的频率的频率计。
2)测量的频率范围是0 999999Hz。
3)结果用十进制数显示。
2乒乓球游戏机1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分;3)甲乙各有数码管计分4)裁判有一按钮,可系统初始化,每次得分后,按下一次3彩灯控制器1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。
4速度表1)显示汽车时速Km/h2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离3)采样周期设为10S4)*要求显示到小数点后2位5)数码管显示6)*超速报警5拔河游戏机1)设计一个能进行拔河游戏的电路。
2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
5)*用数码管显示获胜者的盘数6 6 数字跑表1)具有暂停/启动功能2)具有重新开始功能3)用6个数码管分别显示百分秒、秒和分钟4)能计两个人跑步时间,并能选择显示77 电梯控制器1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)3)每层设有电梯上行和下行指示灯4)能记忆电梯内部的请求信号88 篮球计分器1)具有30秒计时、显示2)可对计时器清零、置数、启动和暂停3)30秒倒计时4)两个数码管显示两队比分5)超时报警并可解除报警99电子琴1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。
#eda实验箱介绍
前言本实验教材总体结构主要由三部分组成:其中第一部分是教案系统的简单介绍;第二部分是软件的安装及使用;第三部分为实验部分;在实验部分中其实验由易至难可分为基础类实验、应用类实验、模拟类实验和综合类实验。
本系统采用模拟与数字以及单片机相结合的设计思想,利用模块化组合设计,使得该实验系统操作简单,灵活,显示直观。
本实验系统主要是为了让学生通过实验了解并掌握MAX+plus II的逻辑输入方法,编译及仿真原理,PAC Designerr软件的使用,以及器件下载等基本内容;实验由简到难,由分离到综合,从而逐步提高学生实验能力和实验水平。
第一部分教案系统介绍一、配套硬件要求:1、PC机:要求586或以上的微机,内存需64MB以上,硬盘需1G以上。
2、示波器:20M示波器。
3、单片机仿真器。
二、NC-EDA-2000C型实验箱实验箱基本配套:NC-EDA-2000C实验箱一台;电源线一根;PAC、CPLD/FPGA 下载电缆各一根;RS-232电缆一根;实验指导书一本;实验连线30根。
2、系统结构组成:NC-EDA-2000C实验箱组成框图3、实验箱结构特点说明:芯片结构板:实验箱采用Altara公司的EP1K10TC100—3芯片,位于实验箱组成框图的14所示位置,具的低内核电压、低功耗的特点。
芯片内门电路高达1万门,内部使用RAM作电路结构,速度高达几百MHZ,其输出可用管脚已全部开放,位于芯片的四周,用户可以根据自己的要求和芯片本身的功能自己任意定义管脚。
同时为了体现实验箱的可扩展性,在芯片的两边各有一个34脚的IDE插口,可以通过数据排线与其它应用模块相连接,其具体的管脚如下图所示:其下载口位于实验箱组成框图的7所示位置。
EP1K10TC100—3芯片的管脚分见附图1。
显示类模块:实验箱中有液晶显示模块<LCD);8位7段数码管显示输出;;8位发光二极管<LED灯)等几个显示模块。
其功能是为了显示其实验的结果。
电梯的电气控制系统设计与实现
电梯的电气控制系统设计与实现
首先,电梯的电气控制系统需要具备运行方向控制功能。
电梯可以向上或向下运行,所以需要设计一个控制器来判断电梯当前的运行方向,并根据乘客的指令来使电梯向对应的方向运动。
在设计这个功能时,可以使用PLC(可编程逻辑控制器)或者单片机来实现控制逻辑。
其次,电梯的电气控制系统还需要实现停靠楼层控制功能。
当电梯到达其中一楼层时,需要精确地停下来以便乘客上下电梯。
为了实现精确停靠,可以使用光电传感器来探测电梯与楼层之间的距离,并通过控制电机的启停来实现的电梯的停靠。
另外,电梯的电气控制系统还需要具备安全保护功能。
例如,当电梯超载时,需要停止电梯的运行以避免危险。
此外,当电梯门没有完全关闭时,电梯也不应该运行,否则会造成安全隐患。
因此,需要在电气控制系统中加入相关的安全控制机制,如传感器检测电梯的负载或者门的关闭状态,并在相应的情况下触发相应的动作,例如关闭电梯的运行。
在实现电梯的电气控制系统时,还需要考虑许多其他因素,如紧急停止按钮、故障检测与报警机制等。
同时,还需要确保电气控制系统的可靠性和稳定性,以及检查系统的灵敏度和精确度,以提高电梯的运行效率和安全性。
总结起来,电梯的电气控制系统设计与实现需要考虑运行方向控制、停靠楼层控制、安全保护等功能,同时要考虑紧急停止按钮、故障检测与报警机制等因素,确保系统的可靠性和安全性。
在实际应用中,还需要根据具体的需求和现场情况进行适当的调整和优化。
基于单片机的电梯控制系统设计
基于单片机的电梯控制系统设计随着现代社会的快速发展,电梯已成为人们日常生活中不可或缺的运输工具。
为了提高电梯的运行效率,保证其安全可靠性,设计一种基于单片机的电梯控制系统。
该系统以单片机为核心,结合传感器、按键、显示等模块,实现对电梯的运行状态、楼层信号、呼梯信号的实时监控与显示。
一、系统硬件设计1、单片机选择本设计选用AT89S52单片机作为主控芯片,该芯片具有低功耗、高性能的特点,内部集成了丰富的外围设备,方便开发与调试。
2、输入模块设计输入模块主要包括楼层传感器和呼梯按钮。
楼层传感器采用光电式传感器,安装在各楼层,用于检测电梯的运行状态和位置;呼梯按钮安装在电梯轿厢内,用于收集用户的呼梯信号。
3、输出模块设计输出模块主要包括显示模块和驱动模块。
显示模块采用LED数码管,用于实时显示电梯的运行状态、楼层位置等信息;驱动模块包括继电器和指示灯,用于控制电梯的运行和指示状态。
4、通信模块设计通信模块采用RS485总线,实现单片机与上位机之间的数据传输与通信。
二、系统软件设计1、主程序流程图主程序主要实现电梯控制系统的初始化、数据采集、处理与输出等功能。
主程序流程图如图1所示。
图1主程序流程图2、中断处理程序中断处理程序主要包括外部中断0和定时器0的中断处理。
外部中断0用于处理楼层传感器的信号,定时器0用于计时和速度控制。
三、系统调试与性能分析1、硬件调试首先对电路板进行常规检查,包括元器件的焊接、电源的稳定性等;然后分别调试输入、输出、通信等模块,确保各部分功能正常。
2、软件调试在硬件调试的基础上,对软件进行调试。
通过编写调试程序,检查各模块的功能是否正常;利用串口调试工具,对通信模块进行调试。
3、性能分析经过调试后的电梯控制系统,其性能稳定、运行可靠。
该系统能够实现对电梯运行状态、楼层信号、呼梯信号的实时监控与显示,并且具有速度快、安全可靠等特点。
该系统还具有成本低、易于维护等优点,适用于各种场合的电梯控制。
基于FPGA的电梯控制器设计_毕业设计论文
(3)当电梯处于上升时,只响应比电梯所在位置高的上楼请求信号,直到最后一个上楼请求执行完毕,再进入下降模式。
(4)当电梯处于下降时,只响应比电梯所在位置低的下楼请求信号,直到最后一个下楼请求执行完毕,再进入上升模式。
(5)电梯的初始状态为第一楼层。
(6)设计超载报警功能。
设计要求:
(1)根据任务要求确定电路各功能模块;
(2)写出设计代码;
(3)给出时序仿真结果和硬件调试结果;
(4)最后要有设计总结。
二、设计原始资料
QuartusⅡ软件,EDA实验箱。
三、要求的设计成果(课程设计说明书、设计实物、图纸等)
软件仿真和硬件仿真结果;课程设计说明书。
四、进程安排
周1-周4:查阅资料,上机编写并调试代码;
3 电梯控制器设计
3.1 电梯控制器设计总体框图
电梯控制器的功能模块如图所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。
2 EDA技术介绍
2.1 EDA技术简介
EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
电梯一体化控制器的构成及控制功能
电梯一体化控制器的构成及控制功能电梯一体化控制器是电梯系统的核心部件,负责协调和控制电梯运行以及安全保护功能。
它由多个模块组成,包括控制模块、驱动模块、通信模块、安全模块等。
在电梯系统中,一体化控制器的主要功能是对电梯进行控制、监测和保护。
一、控制模块控制模块是电梯一体化控制器的核心部分,主要由CPU、存储器、输入输出接口等电子元件组成。
控制模块的主要功能有:1.楼层选择控制:根据乘客或楼梯口的楼层选择信号,控制电梯的运行方向和停靠楼层。
2.电梯调度控制:根据楼层选择信号和电梯当前所在楼层,对电梯调度进行控制,实现多个电梯的协调运行。
3.轿厢内按钮控制:控制轿厢内的按钮信号,实现乘客对电梯运行和停靠楼层的选择。
4.高级控制算法支持:根据需求,支持最优调度、智能学习等高级控制算法,提高电梯系统的效率和乘客体验。
二、驱动模块驱动模块负责控制电梯电机的运行,包括电机的加速、减速、停止等操作。
驱动模块的主要功能有:1.电机控制:控制电机的启动、加速、减速和停止,确保电梯在规定的时间内到达目标楼层。
2.速度控制:控制电梯的运行速度,确保乘客的安全和舒适。
3.制动控制:控制电梯的制动装置,确保电梯在停靠楼层时安全停稳。
4.电气安全:监测电梯电机和驱动系统的工作状态,确保电梯系统的安全运行。
三、通信模块通信模块负责电梯与监控中心之间的通信,以及电梯与其他设备之间的信息交换。
通信模块的主要功能有:1.监控中心通信:与监控中心建立连接,实时传输电梯的状态和故障信息,以保证及时的故障处理和维修。
2.互联互通:支持与其他设备(如门禁系统、楼宇系统等)之间的信息交换,实现整体化的楼宇管理。
3.远程监控:支持远程监控、故障诊断和维修,提高维护效率和电梯系统的可靠性。
四、安全模块安全模块是保证电梯运行安全的重要组成部分,主要功能有:1.故障监测:监测电梯运行中的故障信息,如电机异常、传感器故障等,及时采取措施避免事故的发生。
电梯楼层显示控制器课程设计报告
目录一、前言 (2)二、总体方案设计 (3)三、单元模块设计 (4)四、系统接线调试 (10)五、实践过程中遇到的问题 (14)六、实践总结及心得体会 (16)七、元件清单及参考文献 (17)附件、电路原理图一、前言电子技术综合设计是综合应用模拟电子技术、数字电子技术、电子设计自动化(EDA)技术进行电子系统的综合设计。
而这次的电子技术课程设计正是我们利用已学过的数电模电知识,设计八层电梯显示控制器,在实践中重温自己学过的知识。
也使自己掌握各种芯片的使用方法,提高自己利用图书资源和网络资源的能力。
电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。
多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。
在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。
该课题是电梯楼层显示控制器的设计,采用实验室常见集成芯片(74LS161、74LS190、74LS194等芯片),进行中规模集成电路设计。
二、总体方案设计2.1设计目标基本功能:1)用一位数码显示管显示电梯行进楼层位置(八层楼1-8);2)电梯停车时,能响应每层楼电梯按钮的呼唤;电梯行进时不响应不记忆呼唤;3)能控制电梯行进(假定行进一层楼所需时间2S),给出电梯停止、上行、下行指示。
附加功能:1)用数码管显示乘客要去的目标楼层;2)电梯超重等报警电路,一旦报警电梯紧急制动不行进;3)步进电机正反转模拟电路。
当目标楼层>实时楼层时,系统能输出使电机正转的时序信号,使电梯上升;当目标楼层<实时楼层时,系统能输出使电机反转的时序信号,使电梯下降;当目标楼层=实时楼层时,系统停机信号,使电机停止运行并开门。
2.2整体设计流程图三、单元模块设计3.1楼层输入电路使用二-十进制编码器74LS147实现对电梯楼层输入的编码。
初始状态为高电平,当开关按下时为低电平。
1楼对应I1直到8楼对应I8,I9无效接高电平。
数电课程设计--电梯控制电路
数电课程设计--电梯控制电路
电梯控制电路是一种能够应用于控制楼层和活动方式的电子电路,它可以连接梯状设
施和机械部件,从而使用户能舒适、安全、方便地改变楼层或移动。
控制电路包括一系列
输入部件,如按钮和断路器,它们可以输入信号,来控制电梯运行。
电梯控制电路原理一般是通过一系列电路模块来实现的,包括输入部件、逻辑控制电
路和输出部件。
输入部件将用户的操控信号输入到电路模块中,比如按钮、断路器等。
接着,逻辑控制电路根据信号进行分析处理,从而决定电梯运行方向和到达目标位置。
最后,由输出部件接收处理后的信号,调节电梯设备的运行,使电梯达到用户指定的楼层和位置。
电梯控制电路的实际应用中,一种常见的输入设备是按钮。
按钮可以指定电梯运行方向,以及用户想要到达的楼层和位置,而断路器则是连接电源的开关,同时也可以控制电
梯的运行状态。
此外,接口单元也是控制电路中不可缺少的部件,它不仅可用于连接各种
传感器和电机,还可对信号进行预处理和采样,从而实现安全性。
为了保证电梯的运行安全,控制电路通常需要连接继电器、接触器或控制台,来保证
电梯只能从合理的位置移动,且可以精确地控制电梯的每一步运行,最大限度地减少安全
隐患。
总的来说,电梯控制电路可以实现电梯的安全有效的操作,提高安全性和质量,为
客户提供更好的体验。
endEDA四层电梯控制器
目录1.绪论 (1)中国电梯的现状及发展趋势 (1)1.2 EDA技术简介 (3)1.3 硬件描述语言(VHDL) (4)2.设计电梯控制器的目的与要求 (5)2.1 设计目的 (5)2.2 设计要求 (5)3.电梯控制器的综合设计 (5)3.1 电梯控制器功能要求 (5)3.2 电梯控制器设计方案 (6)3.3 电梯控制器实体设计 (8)3.4 电梯控制器结构体设计 (9)3.5 电梯控制器程序设计说明 (10)4. 总结 (17)5. 参考文献 (19)附录 (20)1 绪论随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。
随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。
因此学好EDA技术对我们有很大的益处。
EDA功能强大,一台计算机、一套EDA软件和一片或几片大规模可编程芯(CPLD/FPGA或ispPAC),就能完成电子系统的设计。
EDA技术涉及面广,内容丰富,但在教学和技术推广层面上,应用较为广泛的是基于可编程器件的EDA技术,它主要包括如下四大要素:1大规模可编程器件,它是利用EDA技术进行电子系统设计的载体;2硬件描述语言,它是利用EDA技术进行电子系统设计的主要手段;3软件开发工具,它是利用EDA技术进行电子系统的智能化的自动化设计工具;4实验开发系统,它是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。
电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。
中国电梯的现状及发展趋势中国电梯市场的现状近年来,随着中国房地产业的快速发展,与之配套的电梯生产制造业也经历了迅猛发展的阶段,电梯产量保持了每年20%以上的增长速度。
我国电梯的出口年均增长率将保持在35%以上,电梯行业逐步成为国内比较重要的行业。
电梯控制器Verilog语言
数字系统设计大作业题目:电梯控制器姓名:温庆学号:031241214班级:0312412指导老师:黄双林摘要 (3)正文 (4)1设计目的及要求 (4)2设计原理 (4)2.1 设计实现原理 (4)2.2项目分块及其实现方案 (6)2.3电梯控制器的流程图 (7)3设计内容 (8)3.1基本状态设计 (8)3.2模块设计 (9)3.3波形仿真 (10)总结与致谢 (14)参考文献 (15)附录 (16)电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。
中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。
因此要加大对电梯技术的创新和发展,提升电梯的性能,就需要引进更好的技术,电梯控制器就是很好的装置,大力开发控制器是很必要的。
电梯的微机化控制主要有以下几种形式:PLC控制;单片机控制;单板机控制;单微机控制;多微机控制;人工智能控制。
本次课程设计采用了EDA技术进行操作EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。
本设计采用Verilog HDL语言的编程技术,源程序Altera公司的Quartus II 软件仿真。
关键字:EDA技术; Verilog HDL语言; Quartus II软件1设计目的及要求1、进一步巩固理论知识,培养所学理论知识的在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养Verilog HDL编程、书写技术报告的能力。
为以后进行工程实际问题的研究打下设计基础。
2、设计一个6层电梯控制器电路,用数码管显示电梯所在楼层号,电梯初始状态为第一楼层;每楼层电梯外都有上、下楼请求开关,电梯内设有乘客到达楼层的请求开关、电梯所处楼层、上升模式及下降模式的指示;电梯每2秒升降一层,到达有停站请求的楼层后,经4秒电梯门打开,开门指示灯亮,开门4秒后,指示灯灭,关门,关门时间3秒,电梯继续运行。
毕业设计基于FPGA的三层电梯控制器的研究
目录摘要 (I)Abstract (II)第一章引言 (1)1 .1 设计内容简介 (1)1 .2 选题背景 (1)第二章设计的基础依据 (1)2 .1 EDA技术介绍 (1)2 .2 FPGA芯片介绍 (2)2 .3 VHDL语言介绍 (3)2 .4 仿真软件介绍 (4)第三章电梯控制器的设计及仿真 (5)3.1 电梯控制器的任务和要求 (5)3.2 电梯控制器的算法分析 (5)3.3 电梯控制器的设计 (7)3.2 模块、模块功能及相应的程序 (8)3.3.1 控制模块 (8)3.3.2 楼层显示模块 (8)3.2.3 电梯状态显示模块 (9)3.4 仿真及仿真结果 (9)总结 (13)参考文献 (14)致谢 (15)附录一 (16)附录二 (17)基于FPGA的三层电梯控制器的研究专业:学号:学生姓名:指导教师:摘要:本设计主要介绍了基于FPGA设计的三层电梯控制器,采用VHDL语言进行编译,并进行了电路综合和仿真。
该控制器具备了FPGA芯片的硬件体积小、实时性好、工作效率高、提高系统的集成度等特点且遵循方向优先的原则,提供三个楼层多个用户的载客服务,并指示电梯的运行情况。
本课题试验调试平台是Altera公司的Quartus Ⅱ软件和EDA实验开发系统。
通过程序的调试和运行及仿真表明本设计可以完成电梯所在楼层显示电梯运行方向指示。
关键字:FPGA、VHDL、电梯控制器、EDA实验开发系统Based on the three-layer elevator controller FPGA researchAbstract:This design introduces FPGA design based on three elevator controller,compiled with VHDL language, and make the circuit synthesis and simulation.The controller follows the direction of the principle of priority, to provide three floors of the passenger service multiple users, and indicates the operation of the lift. This controller has FPGA chip compact hardware, good real-time, high efficiency, improve the system integration characteristics and follow the direction of the priority principle, offer more than three floors of passenger service, and user instructions to the elevator. This topic is Altera debugging test platform Quartus company Ⅱsoftware and EDA experiment development system. Through the process of debugging and operation and the simulation shows that this design can be completed in elevator running direction indicator that elevator floor.Keywords: FPGA, VHDL, Elevator Controller, EDA experiment development system第一章引言1 .1 设计内容简介随着电子技术与自动控制技术的发展,越来越多的FPGA器件正广泛用于各个数字信号处理过程。
(完整word版)eda课程设计电梯(3层)
目录1 引言 02 EDA技术介绍 (1)2。
1 EDA概述 (1)2.2 MAX+plus II软件简介 (2)3 电梯控制器设计 (2)3.1设计要求 (2)3.2电梯运行规则 (3)3.3电梯整体设计 (3)3。
4端口设计说明 (3)3。
5具体程序设计说明 (4)3。
5.1 库声明部分 (4)3.5.2 实体部分 (4)3.5.3 结构体部分 (5)4 系统仿真 (8)5 硬件测试 (10)6 结论 (11)参考文献 (12)附录 (13)1 引言当今世界,部分地区人口高度密集,人和土地资源短缺的矛盾日益激化.这就注定了必须合理的利用土地去解决人与土地的矛盾。
而兴建高层建筑是其中有效措施之一。
因此能使人们快速便捷地到达目的楼层的电梯就应运而生了。
随着电子技术日薪月异的发展,集成电路从20 世纪60年代的小规模到中规模,再到大规模集成电路,伴随着它的高速发展,EDA技术、CPLD 技术也得到充分发展。
电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过度到在办公室、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化.对于电梯的控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。
电梯的微机化控制主要有以下几种形式:PLC控制,单片机控制,单板机控制,单微机控制,多微机控制,人工智能控制[1].随着EDA技术的快速发展,CPLD/FPGA[2]已广泛应用于电子设计与控制的各个方面。
本设计就是使用一片CPLD/FPGA来实现对电梯的控制的。
三层电梯广泛应用在大型的货运之中。
其使用便捷,货运周期短,效率高成本低,对货运事业具有相当的经济价值。
电梯作为高层建筑物的重要交通工具与人们的工作日益紧密FPGA/CPLD作为新一代工业控制器,以其高可靠性和技术先进性,在电梯控制中得到广泛应用,从而使电梯由传统的继电器控制方式发展为计算机控制的一个重要方向,成为当前电梯控制和技术改造的热点之一.电梯控制器是控制电梯按顾客要求自动上下的装置。
三层电梯控制器实验报告
三层电梯控制器实验报告实验报告:三层电梯控制器一、实验目的本次实验的目的是设计一个能够控制三层电梯的控制器。
通过这个实验,我们可以掌握基本的电梯控制原理,并能够实现电梯的运行、停靠以及乘客上下楼的功能。
同时,通过设计和搭建电梯控制器系统,提升我们的实践操作能力和创新能力。
二、实验原理1.电梯的基本原理电梯的运行基于电动机的驱动和控制,具体来说,电梯的上升和下降是由电动机的旋转方向控制的。
电动机的转向又由控制器控制,控制器通过感应电梯的位置和方向,向电动机发送控制信号,从而实现电梯的运行。
2.电梯控制器的设计电梯控制器是由多个组件组成的系统,包括控制面板、按钮、传感器以及控制器主板等。
控制器主板负责接收传感器信号、处理输入信号、控制电动机运行等功能。
而控制面板和按钮则用于输入电梯运行的指令。
控制器主板的核心是一个单片机,通过编写程序控制电动机的运行、接收输入信号、处理信号等。
其中,传感器用于感应电梯的运动状态和位置,将信号发送给控制器主板。
控制面板和按钮通过线路连接到控制器主板,将输入的指令传递给控制器主板。
三、实验器材和仪器1.模拟电梯2.控制器主板3.控制面板4.按钮5.传感器6.电动机7.电源四、实验步骤和方法1.搭建电梯控制器系统首先,我们需要将控制面板、按钮、传感器和电动机连接到控制器主板上。
具体连线可以参考电梯控制器的电路图进行连接。
2.编写控制器的程序通过编写程序控制电梯的运行、接收输入信号、处理信号等。
程序需要根据传感器的信号来判断电梯的状态和位置,并根据输入的指令来控制电动机的运行。
3.进行实验测试将输入信号输入控制面板和按钮,观察电梯的运行情况,验证电梯控制器的正确性和可靠性。
五、实验结果和分析通过对电梯控制器的搭建和测试,我们成功实现了电梯的正常运行、停靠以及乘客上下楼的功能。
实验结果表明,电梯控制器设计合理,能够准确地根据输入指令来控制电梯的运行。
六、实验总结通过本次实验,我们学习了基本的电梯控制原理,并通过设计和搭建电梯控制器系统,提升了我们的实践操作能力和创新能力。
eda三层电梯
基于VHDL三层电梯控制器的设计时间:2009-04-02 10:17:46 来源:电子技术作者:陕西理工学院,电信工程系韩团军0 引言电梯控制器是控制电梯按顾客要求自动上下的装置。
本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性,源程序经A1tera公司的MAX+plus II 软件仿真,目标器件选用CPLD器件。
通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。
1 三层电梯控制器将实现的功能(1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。
(2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。
(3)电梯每秒升(降)一层楼。
(4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。
(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。
(6)电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到由下楼请求的最高层,然后进入下降模式。
当电梯处于下降模式时则与上升模式相反。
(7)电梯初始状态为一层开门状态。
2 设计方案和论证2.1 控制器的设计方案控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。
乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。
分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。
由于分控制器相对简单很多,所以主控制器是核心部分。
2.2 三层电梯控制器的设计思路电梯控制器采用状态机来实现,思路比较清晰。
EDA技术在控制系统中的应用
第 2 第 6期 O卷 20 0 7年 ¨ J I
山学 院 学 报
J u n l f n s a olg o r a o Ta g h n C l e e
Vo . O No 6 I2 . No . 0 7 v2 0
E A技 术 在 控 制 系统 中的应 用 D
薄 涛 陈秀 丽 , , 梁廷 贵
(I 1 唐山市开元 自动焊接设备有限公 司. 河北 唐 山 0 3 0 ; . 60 0 2 唐山学院 信息工程系 . 河北 唐 山 0 30 ) 6 00
摘要 : 通过 对 系统 进 行 结 构 分 析 , 用 层 次 化 的设 计 方 法, 出 了控 制 器 的 VHD 采 给 L程 序 , 用 利
En i e r g Ta g h n C l g . n s a 6 0 0 Ch n ) g n c i n s a o l e Ta g h n 0 3 0 . i a n e
Ab ta t Thi a e ntod e h e i h on r le s d on EDA.By a a y i h t u — src : s p p ri r uc st e d sgn oft e c t o l rba e n l zng t e s r c t e o he s s e ,t e a r gi s ft y tm ur h p pe ve VHDI pr gr m on r le usn h e a c c l e i o a of c t o lr by i g i r r hia d sgn me n n lo pr v d s t e sm ulto e ulsofM AXPLU S I . a sa d as o ie h i a i n r s t I Ke or s V ) FPGA ; AXPIUS I ; ) yW d : HI L; M I E1A
张岩电梯控制器的设计终稿打印版
理工学院本科生毕业设计(论文)学院(系):电子与电气工程系专业:电气工程及其自动化学生:张岩指导教师:张燕完成日期 2011 年 5 月理工学院本科生毕业设计(论文)电梯控制器的设计Design of elevator controller总计:毕业设计(论文)33 页表格:0 个插图: 22 幅理工学院本科毕业设计(论文)电梯控制器的设计Design of elevator controller学院(系):电子与电气工程系专业:电气工程及其自动化学生姓名:张岩学号:97107007指导教师(职称):张燕(讲师)评阅教师:完成日期:理工学院Institute of Technology电梯控制器的设计电气工程及其自动化专业张岩[摘要] 针对我国电梯业现状,本设计以电子设计自动化技术中的高速集成电路硬件描述语言编写四层电梯控制程序,实验调试平台是Altera公司的QuartusII软件。
此程序具有VHDL语言设计里最为常用的三个模块:实体entity,结构体architecture,进程执行单元process。
通过程序调试及运行仿真,结果表明,本程序可以完成:电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、超载报警等。
本设计对更高层的电梯控制设计具有一定的拓展性。
[关键词] 电梯控制;电子设计自动化;现场可编程门阵列;高速集成电路硬件描述语言Design of elevator controllerElectrical Engineering and Automation Specialty ZHANG Yan Abstract: Against the status of China's elevator industry,this thesis designs the eight-floor liftcontroll on the basis of the electron basic on the electronic design automation(EDA) technology’s the very four-story integrated circuit hardware describe language(VHDL),it is debuged in the Altera company’s QuartusII software as a platform in experiment.This procedure has commonly most used three pieces of module in language designs:the entity,the architecture and the process.Through testing the connection of the EDA case,realize the following main functions:The lift’s floor shows;the lift operation direction shows;closes prolonging ;overloads reorting to the police,etc..The design can make some evolution for designing to on the senior level lift control.Key words:Lift control;Electronic design automation;Field programmable gate array;Very high speed integrated circuit hardware description language;目录1 引言 (1)1.1 选题背景 (1)1.2 课题的目的和意义 (1)1.3 本文的主要工作 (1)2 电梯控制器设计的基础依据 (2)2.1 FPGA可编程逻辑器件简介 (2)2.2 VHDL语言及程序概述 (2)2.3 Quartus II的介绍 (4)3 电梯控制器的硬件系统设计 (4)3.1 FPGA最小系统模块 (5)3.1.1 复位和晶振电路 (5)3.1.2 电源电路 (6)3.2请求信号输入模块 (6)3.2.1 按键输入电路 (6)3.2.2 电梯位置监测电路 (7)3.2.3 电梯超重监测电路 (7)3.3 信号的输出、显示模块 (9)3.3.1 输出显示电路 (9)3.3.2 电机驱动电路 (10)4 电梯控制器软件系统的设计 (10)4.1 电梯控制器的控制要求 (10)4.2 电梯控制器软件系统总体设计 (11)4.3 电梯系统状态图分析 (12)5 电梯控制系统的调试与实现 (16)5.1各模块设计说明 (16)5.2 VHDL源程序仿真 (18)结束语 (22)参考文献 (23)附录一:电梯控制器源程序 (24)附录二:电梯控制器硬件原理图 (32)致谢 (33)1 引言1.1 选题背景随着科学技术的发展、近年来,我国的电梯生产技术得到了迅速发展一些电梯厂家也在不断设计创新、修改工艺。
EDA课程设计电梯控制器
初始化:设置电梯初始状态,如楼层、方向等 输入处理:接收用户输入,如楼层、方向等 逻辑判断:根据用户输入和电梯当前状态,判断是否需要改变方向、楼层等 输出处理:根据逻辑判断结果,输出电梯运行状态,如楼层、方向等 结束:电梯到达指定楼层,停止运行,等待下一次输入
编写代码:根据设计要求编写C语 言代码
交流方式:小组讨论、课堂 展示、报告撰写
课程设计成果:电梯控制器 的设计与实现
成果展示:电梯控制器的功 能演示、性能测试
展望未来:电梯控制器的改 进方向、应用前景
智能化:实现电梯的自动化控制,提高运行效率和安全性
节能环保:采用节能技术,降低能耗,减少对环境的影响
物联网技术:实现电梯与物联网的连接,提高管理效率和服务 质量
电缆:选择耐高温、耐腐蚀、抗干扰的 电缆
开关:选择安全、耐用、易操作的开关
电机:选择高效、节能、低噪音的电机
电源:选择稳定、高效、低噪音的电源
设计原则:遵循电气性能、机械性能、热性能等要求 设计工具:使用Altium Designer、Protel等专业软件 设计步骤:绘制原理图、生成PCB文件、布局布线、生成生产文件 制作工艺:采用SMT贴片、回流焊等工艺进行生产
调试代码:使用Keil uVision5进行 调试,解决编译错误
添加标题
添加标题
添加标题
添加标题
编译代码:使用Keil uVision5进行 编译
测试代码:使用Keil uVision5进行 测试,验证功能是否正确
测试方法:单元测试、集成测试、 系统测试
优化目标:提高软件性能、稳定性、 安全性
添加标题
● 功能: a. 运行控制:控制电梯的启动、停止、加速、减速、制动等动作。 b. 安全保护:检测电梯的 运行状态,如超速、过载、门未关等,并采取相应的安全措施。 c. 故障处理:检测电梯的故障,如过 热、短路、断路等,并采取相应的故障处理措施。 d. 信息显示:显示电梯的运行状态、楼层、方向等 信息。 e. 通信接口:与电梯的其他部件进行通信,如电梯门、楼层显示板等。
EDA3层电梯的控制系统1
一、设计要求:要求用FPGA设计实现一个3层电梯的控制系统。
系统的要求如下:(1)电梯运行规则:当电梯处在上升模式时,只响应比电梯所在位置高的上楼请求,由下向上逐个执行,直到最后一个上楼请求执行完毕。
如果高层有下楼请求,直接升到有下楼请求的最高楼层,然后进入下降模式。
当电梯处在下降模式时,工作方式与上升模式相反。
设电梯共有3层,每秒上升或下降一层。
(2)电梯初始状态为一层,处在开门状态,开门指示灯亮。
(3)一层电梯入口处设有上楼请求开关,二层电梯入口处设有上、下楼请求开关,三层电梯入口处设有下楼请求开关,电梯内部设有乘客到达楼层的停站请求开关及其显示。
(4)设置电梯所处位置指示及电梯上升或下降指示。
( 5 )电梯到达有停站请求的楼层后,电梯门打开,开门指示灯亮。
开门4s后,电梯门开关闭,开门指示灯灭,电梯继续运行,直至执行完最后一请求信号后停在当前层。
(6)电梯控制系统能记忆电梯内外的请求信号,并按照电梯运行规则工作,每个请求信号执行完毕后随即清除。
一、设计方案和论证1. 控制器的设计方案控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。
乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。
分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。
由于分控制器相对简单很多,所以主控制器是核心部分。
2. 三层电梯控制器的设计思路电梯控制器采用状态机来实现,思路比较清晰。
可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。
由于电梯又是每秒上升或下降一层,所以就可以通过一个统一的1秒为周期的时钟来触发状态机。
根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在第1层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第2秒”、“开门等待第3秒”、“开门等待第4秒”、“上升”、“下降”和“停止状态”。
EDA技术(课程设计题目)
设计题6—洗衣机控制电路
转60s→待机5s→反 转60s→,并用3个LED灯和7段显示器分别表示其工作状 态和显示相应工作状态下的时间。
2、可自行设定洗衣机的循环次数,这里设置最大的 循环次数为15次。
3、具有紧急情况的处理功能。当发生紧急情况时, 立即转入待机状态,紧急情况解除后,继续执行后续步 骤;
显示方式如下: 1、采用记忆显示方式,即计数过程中不显示数据,待 计数过程结束以后,显示计数结果,并将此显示结果保 持到下一次计数结束,显示时间不小于1s; 2、小数点位置随量程变更自动移位;
待测信号为符合TTL要求的方波信号。
设计题2—游戏电路的设计(模拟掷骰子)
设计要求
本游戏电路是模拟掷骰子,可供两人游戏。游戏者 每按动一次按键可得到1~6范围内的两个数,并按下列 规则决定胜负。 1、第一场比赛,游戏者每人各按一次按键,所得两 数之和为7和11者胜;若无人取胜,则进行第二场比赛; 2、第二场比赛,游戏者每人各按一次按键,所得两数 之和与第一场比赛相同者获胜,所得两数之和为7或11 者负,若无人获胜或负,则重复进行,直至出现胜者 或负者为止;
设计题4—自动售邮票机
设计要求
机器有一个投币口,每次只能投入一枚硬币,但可以连续投入 数枚硬币。机器能自动识别硬币金额,最大为1元,最小为1角, 购票者可选择的邮票面值有1元和5角两种,每次只能售出一枚邮 票。
购票时先选择邮票面值后投币,当投入的硬币总金额达到或超 过邮票面值时,机器应发出指示并拒收继续投入的硬币。
设计题5—万年历的设计
设计要求
设计万年历显示模块,应具备如下功能:
1、能显示年、月、日,时、分、秒两种显示方式; 2、有一个按键能选择不同的显示方式; 3、时间显示可以有按键选择24、12进制显示; 4、能由调时、分的按键,能进行时间的设定。 附加功能: 1、能有星期显示;2、能进行润年的计算; 3、能有秒表、倒计时等功能(自主设定)
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA电梯控制器的设计与分析学院电子信息工程学院系电子系班级 08电信本一班姓名李诚科学号 80514066 指导老师肖老师日期 2010/12/15EDA电梯控制器的设计与分析基于FPGA的自动升降电梯控制系统设计(VHDL)☆.基于FPGA的自动升降电梯控制系统设计(VHDL)☆(任务书,开题报告,中期检查报告表,毕业论文,25000字,程序)摘要该设计是一个基于FPGA的自动升降电梯控制系统。
它的设计是采用VHDL硬件描述语言使用EDA技术在Quartus II软件平台上设计完成的〖资料来源:毕业设计(论文)网〗调试并且时序仿真通过后再下载到开发板上调试通过。
这个系统分为4个模块:外部数据采集模块、信号存储模块、中央处理控制模块、信号显示模块。
中央处理模块采用状态机的方式来完成的。
电梯的运行和停止过程包括8个状态:上升、下降、停止、开门、关门、等待、超载以及故障。
其中上升和下降过程又分别包含1到6楼六个子状态。
通过不同条件的改变来控制状态之间的变换。
这些条件的改变是通过对一些输入输出和寄存器信号的变化来完成的。
这些信号包括每个楼层的上升、下降、楼层请求、运动方向显示、楼层显示等信号。
所以设计了数据采集和存储模块来采集并存储这些信号。
信号显示模块的设计体现在电梯每进入一种状态就会有相应的输出和显示。
关键词:Quartus II,FPGA,VHDL,状态机ABSTRACT .The design is an FPGA-based control system for automatic elevators.It is designed using VHDL hardware description language and the technology of EDA,and employing Quartus II EDA software platform to design , debugging, and timing simulation and then downloaded to the development board for debugging.The system is divided into four modules: external data acquisition module, signal storage module, a central processing control module, the signal display module.Central processing module uses the state machine approach to completion.The elevator 's process of operation and stop the includes eight states: up, down, stop, open, closed, waiting, overload and breakdown.Inside,Rise and fall states also contain 1-6 floor six sub-state.The changes of different conditions result in the transformation among the state.Changes of these conditions is resulted from a number of input or output or register signal convert .These signals include the rise or fall of each floor, floor request, the motion direction display, floor display signal and so on.Therefore the data collection and storage module is designed to collect and store these signals.Signal display module designed is embodied that if the elevator enter into a state ,there will be corresponding outputs and displays..Keywords: Quartus II, VHDL, FPGA, state machine电梯控制系统总体设计该电梯控制系统的设计包括四个模块:外部信号高速采集模块、信号存储模块、中央处理控制模块、信号显示模块。
外部信号高速采集模块和存储模块的设计需求。
外部用户的请求包括:电梯外部1楼到5楼的上升请求,2到6楼的下降请求,电梯内部1到6楼的请求。
提前开门、延时关门开关。
这些信号的检测是通过时钟信号的触发完成的,所以要及时得将这些信号采集并存储好。
所以需要定义一些寄存器信号单元来存储这些请求数据。
中央处理控制模块的设计需求。
它的主要功能是:(1)完成六个楼层多用户的载客服务控制;(2)电梯运行时显示电梯的运行方向和所在的楼层;(3)当电梯到达选择的楼层时,电梯自动开门;(4)具有提前关门和延时关门的功能;(5)响应分控器的有效请求,如果到达有请求的楼层,电梯自动开门。
所以在这个模块的设计过程中需要设计电梯的运行方向和所在楼层的显示信号,开关门使能信号,提前关门和延时关门信号,上升下降使能信号,超载、故障等报警信号等等。
信号显示模块设计需求。
结合电梯的基本功能,需要显示给外界用户看的信号有:电梯内和电梯外上升下降的请求信号、到达的楼层数信号,电梯的运动方向,电梯门的开与关信号等等。
〖毕业设计(论文)咨询QQ:306826066〗硬件需求:1.CPU:Interl(R) Pentium(R)1.60GHz2.内存:256MB及以上3. Create-FPGA/SOPC-EB实验开发箱4. Create-FPGA/SOPC-EB开发板和核心主板1.5.2 软件需求:1.操作系统版本:Windows XP /2003Server2.Quartus II 6.0一、摘要随着社会的发展,电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过渡到在办公楼、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化。
对于电梯的控制,传统的方法是使用继电器—接触器控制系统进行控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。
电梯的微机化控制主要有以下几种形式:① PLC控制;②单板机控制;③单片机控制;④单微机控制;⑤多微机控制;⑥人工智能控制。
随着EDA技术的快速发展,CPLD/FPGA 已广泛应用于电子设计与控制的各个方面。
本设计就是使用一片CPLD/FPGA来实现对电梯的控制的。
二、设计要求根据系统设计要求,并考虑到系统的可验证性,整个系统的输入输出接口设计如symbol 图所示系统工作用2 Hz基准时钟信号clk,电梯外人的上升请求键c_u1,c_u2,c_u3,c_u4,c_u5,电梯外人的下降请求键c_d2,c_d3,c_d4,c_d5,c_d6,电梯内人的请求键d1,d2,d3,d4,d5,d6,到达楼层信号g1,g2,g3,g4,g5,g6,电梯所在楼层数码管显示led[6..0]提前关门输入键quick,延迟关门输入键deng,超载按钮full,清除报警按钮clr,电梯门控制信号door,电梯外人上升请求信号显示led_c_u[5..0],电梯外人下降请求信号显led_c_d[5..0], 电梯内请求信号显示led_c_d, 看门狗报警信号wahaha,电梯运动方向显示ud,超载警告信号alarm,电机控制信号up,电梯运动down。
三、关键词:①.EDA技术;②.2 Hz基准时钟信号;③.数码管显示;④.波形仿真四、VHDL程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti isport ( clk : in std_logic; --时钟信号(频率为2Hz)full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号door : out std_logic_vector(1 downto 0); --电梯门控制信号led : out std_logic_vector(6 downto 0); --电梯所在楼层显示led_c_u:out std_logic_vector(5 downto 0); --电梯外人上升请求信号显示led_c_d:out std_logic_vector(5 downto 0); --电梯外人下降请求信号显示led_d : out std_logic_vector(5 downto 0); --电梯内请求信号显示wahaha : out std_logic; --看门狗报警信号ud,alarm : out std_logic; --电梯运动方向显示,超载警告信号up,down : out std_logic ); --电机控制信号和电梯运动end dianti;architecture behav of dianti issignal d11,d22,d33,d44,d55,d66:std_logic; --电梯内人请求信号寄存信号signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic; --电梯外人上升请求信号寄存信号signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic; --电梯外人下降请求信号寄存信号signal q:integer range 0 to 1; --分频信号signal q1:integer range 0 to 6; --关门延时计数器signal q2:integer range 0 to 9; --看门狗计数器signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0); --电梯内外请求信号寄存器signal opendoor:std_logic; --开门使能信号signal updown:std_logic; --电梯运动方向信号寄存器signal en_up,en_dw:std_logic; --预备上升、预备下降预操作使能信号begincom:process(clk)beginif clk'event and clk='1' thenif clr='1' then q1<=0;q2<=0;wahaha<='0'; --清除故障报警elsif full='1' then alarm<='1'; q1<=0; --超载报警if q1>=3 then door<="10";else door<="00";end if;elsif q=1 then q<=0;alarm<='0';if q2=3 then wahaha<='1'; --故障报警elseif opendoor='1' then door<="10";q1<=0;q2<=0;up<='0';down<='0'; --开门操作 elsif en_up='1' then --上升预操作 if deng='1' then door<="10";q1<=0;q2<=q2+1; --关门中断elsif quick='1' then q1<=3; --提前关门elsif q1=6 then door<="00";updown<='1';up<='1'; --关门完毕,电梯进入上升状态 elsif q1>=3 then door<="01";q1<=q1+1; --电梯进入关门状态else q1<=q1+1;door<="00"; --电梯进入等待状态end if;elsif en_dw='1' then --下降预操作if deng='1' then door<="10";q1<=0;q2<=q2+1;elsif quick='1' then q1<=3;elsif q1=6 then door<="00";updown<='0';down<='1';elsif q1>=3 then door<="01";q1<=q1+1;else q1<=q1+1;door<="00";end if;end if;if g1='1' then led<="1001111"; --电梯到达1楼,数码管显示1if d11='1' or c_u11='1' then d11<='0'; c_u11<='0';opendoor<='1';--有当前层的请求,则电梯进入开门状态elsif dd_cc>"000001" then en_up<='1'; opendoor<='0';--有上升请求,则电梯进入预备上升状态elsif dd_cc="000000" then opendoor<='0'; --无请求时,电梯停在1楼待机end if;elsif g2='1' then led<="0010010"; --电梯到达2楼,数码管显示2if updown='1' then --电梯前一运动状态位上升if d22='1' or c_u22='1' then d22<='0'; c_u22<='0'; opendoor<='1';--有当前层的请求,则电梯进入开门状态elsif dd_cc>"000011" then en_up<='1'; opendoor<='0';--有上升请求,则电梯进入预备上升状态elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0';--有下降请求,则电梯进入预备下降状态end if;--电梯前一运动状态为下降elsif d22='1' or c_d22='1' then d22<='0'; c_d22<='0';opendoor<='1';--有当前层的请求,则电梯进入开门状态elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0';--有下降请求,则电梯进入预备下降状态elsif dd_cc>"000011" then en_up<='1'; opendoor<='0';--有上升请求,则电梯进入预备上升状态end if;elsif g3='1' then led<="0000110"; --电梯到达3楼,数码管显示3if updown='1' thenif d33='1' or c_u33='1' then d33<='0'; c_u33<='0';opendoor<='1';elsif dd_cc>"000111" then en_up<='1'; opendoor<='0';elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0';end if;elsif d33='1' or c_d33='1' then d33<='0'; c_d33<='0'; opendoor<='1';elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0';elsif dd_cc>"000111" then en_up<='1'; opendoor<='0';end if;elsif g4='1' then led<="1001100"; --电梯到达4楼,数码管显示4 if updown='1' thenif d44='1' or c_u44='1' then d44<='0'; c_u44<='0'; opendoor<='1';elsif dd_cc>"001111" then en_up<='1'; opendoor<='0';elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0';end if;elsif d44='1' or c_d44='1' then d44<='0'; c_d44<='0'; opendoor<='1';elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0';elsif dd_cc>"001111" then en_up<='1'; opendoor<='0';end if;elsif g5='1' then led<="0100100"; --电梯到达5楼,数码管显示5if updown='1' thenif d55='1' or c_u55='1' then d55<='0'; c_u55<='0';opendoor<='1';elsif dd_cc>"011111" then en_up<='1'; opendoor<='0';elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0';end if;elsif d55='1' or c_d55='1' then d55<='0'; c_d55<='0';opendoor<='1';elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0';elsif dd_cc>"011111" then en_up<='1'; opendoor<='0';end if;elsif g6='1' then led<="0100000"; --电梯到达6楼,数码管显示6 if d66='1' or c_d66='1' then d66<='0'; c_d66<='0';opendoor<='1';elsif dd_cc<"100000" then en_dw<='1'; opendoor<='0';end if;else en_up<='0';en_dw<='0'; --电梯进入上升或下降状态end if;end if;else q<=1;alarm<='0'; --清除超载报警if d1='1' then d11<=d1; --对电梯内人请求信号进行检测和寄存elsif d2='1' then d22<=d2;elsif d3='1' then d33<=d3;elsif d4='1' then d44<=d4;elsif d5='1' then d55<=d5;elsif d6='1' then d66<=d6;end if;if c_u1='1' then c_u11<=c_u1; --对电梯外人上升请求信号进行检测和寄存elsif c_u2='1' then c_u22<=c_u2;elsif c_u3='1' then c_u33<=c_u3;elsif c_u4='1' then c_u44<=c_u4;elsif c_u5='1' then c_u55<=c_u5;end if;if c_d2='1' then c_d22<=c_d2; --对电梯外人下降请求信号进行检测和寄存elsif c_d3='1' then c_d33<=c_d3;elsif c_d4='1' then c_d44<=c_d4;elsif c_d5='1' then c_d55<=c_d5;elsif c_d6='1' then c_d66<=c_d6;end if;dd<=d66&d55&d44&d33&d22&d11; --电梯内人请求信号并置cc_u<='0'&c_u55&c_u44&c_u33&c_u22&c_u11; --电梯外人上升请求信号并置cc_d<=c_d66&c_d55&c_d44&c_d33&c_d22&'0'; --电梯外人下降请求信号并置dd_cc<=dd or cc_u or cc_d; --电梯内、外人请求信号进行综合 end if;ud<=updown; --电梯运动状态显示led_d<=dd; --电梯内人请求信号显示led_c_u<=cc_u; --电梯外人上升请求信号显示led_c_d<=cc_d; --电梯外人下降请求信号显示end if;end process;end behav;四、硬件系统测试目标器件选择为EPM7128SLC84-15芯片,系统编程下载完成后,连接好硬件测试系统,通过验证所得真实图形如下:上图中显示的是第二层楼的真实测试照片五、波形仿真图注:由于以上输入信号在下面仿真中没用到,所以在下面仿真图中将不再出现。