EDA技术实验3

合集下载

EDA实验报告三(3-8译码器的设计)

EDA实验报告三(3-8译码器的设计)

EDA实验报告三(3-8译码器的设计)实验三:3-8译码器的设计⼀、实验⽬的1、学习Quartus II 7.2软件设计平台。

2、了解EDA的设计过程。

3、通过实例,学习和掌握Quartus II 7.2平台下的⽂本输⼊法。

4、学习和掌握3-8译码器的⼯作和设计原理。

5、初步掌握该实验的软件仿真过程。

⼆、实验仪器PC机,操作系统为Windows7/XP,本课程所⽤系统均为WindowsXP(下同),Quartus II 7.2设计平台。

三、实验步骤1、创建⼯程,在File菜单中选择New Project Wizard,弹出对话框如下图所⽰在这个窗⼝中第⼀⾏为⼯程保存路径,第⼆⾏为⼯程名,第三⾏为顶层⽂件实体名,和⼯程名⼀样。

2、新建设计⽂本⽂件,在file中选择new,出现如下对话框:选择VHDL File 点击OK。

3、⽂本输⼊,在⽂本中输⼊如下程序代码:library ieee;use ieee.std_logic_1164.all;entity variable_decoder isport(A:in STD_LOGIC;B:in STD_LOGIC;C:in STD_LOGIC;Y:out STD_LOGIC_VECTOR(7 downto 0));end variable_decoder;architecture rtl of variable_decoder isbeginprocess(A,B,C)variable COMB:std_logic_vector(2 downto 0);beginCOMB:=C&B&Acase COMB iswhen "000"=>Y<="11111110";when "001"=>Y<="11111101";when "010"=>Y<="11111011";when "011"=>Y<="11110111";when "100"=>Y<="11101111";when "101"=>Y<="11011111";when "110"=>Y<="10111111";when "111"=>Y<="01111111";when others=>Y<="XXXXXXXX";end case;end process;end rtl;然后保存到⼯程中,结果如下图所⽰:4、编译,如果有多个⽂件要把这个⽂件设为当前顶层实体,这样软件编译时就只编译这个⽂件。

EDA技术实验1-3

EDA技术实验1-3

《EDA技术》实验一——熟悉Maxplus开发工具的设计流程一、实验目的1、掌握Maxplus开发工具的原理图输入的设计步骤及方法;2、初步学会用现成的中规模集成器件:数据选择器74151、译码器74138、计数器74160/74161等设计相关的组合逻辑及时序逻辑电路。

二、实验内容及要求1、数据选择器74151的应用(必做,具体要求见后);2、译码器74138的应用(必做,具体要求见后);3、计数器74160/74161的应用(至少必做其中一题,具体要求见后);4、补充设计应用(选做,具体要求见后)。

三、设计提示(课堂讲解)1、讲解采用现成的中规模集成电路设计组合逻辑电路及时序逻辑电路的一般步骤和技巧;2、讲解数据选择器74151、译码器74138的引脚功能及使用技巧;3、讲解十进制计数器74160、十六进制计数器74161的引脚功能,并对复位法和置位法的使用方法加以描述;2.2.1 数据选择器74151的应用1、设计要求:用八选一数据选择器74151实现一个四位二进制数输入中含偶数个‘0’的判断电路,可附加必要的外围电路。

2、输入/输出信号情况:四位二进制数信号输入X[3..0],判别信号输出F;3、设计文件命名为lianxi221.gdf。

4、对设计文件进行语法检查、项目编译,无误后加以仿真验证设计是否正确。

5、参考设计电路(略)2.2.2 译码器74138的应用1、设计要求:试用中规模的集成器件74138(3-8译码器),实现逻辑函数表达式,可以附加必要的门电路。

2、输入/输出信号情况:A、B、C为三个输入信号,输出信号为F;3、设计文件命名为lianxi222.gdf。

4、对设计文件进行语法检查、项目编译,无误后加以仿真验证设计是否正确。

5、参考设计电路(略)1.安装Maxplus工具软件,练习原理图输入设计及仿真方法(见下页图),可对照本次课程讲述内容进行;2.设计一个三输入的奇数检测电路,作出其原理图并建立仿真加以验证。

EDA技术与应用实践3.函数信号发生器

EDA技术与应用实践3.函数信号发生器

3 函数信号发生器的设计智能函数信号发生器一般是指能自动产生正弦波、三角波、锯齿波和方波等函数信号波形的电路和仪器,它与示波器、电压表、频率计等仪器一样,是最普通、最基本、应用最广泛的电子仪器之一,在电子技术实验、自动控制系统和其它科研领域,几乎所有的电参量的测量都需要用到信号发生器。

3.1 设计要求设计一个智能函数信号发生器,能够以稳定的频率产生正弦波、三角波、锯齿波和方波,并能够通过按键选择输出4种不同种类的函数波形,同时具有系统复位功能。

3.2 设计方案智能函数信号发生器主要由两大部分电路组成:即函数信号发生电路和函数信号选择电路。

其中函数发生电路包括产生正弦波、三角波、锯齿波和方波4种不同函数波形的模块,如图 3 - 1所示。

开关SEL时钟CLK波形输出复位CLR图 3 - 1 函数信号发生器组成框图函数发生电路要产生4种不同的波形,因此要针对每种函数波形设计对应的电路模块。

虽然每个模块的输入和输出设置相同,但不同的函数发生模块对信号的处理方式不同。

对于三角波、锯齿波和方波3种比较规则的波形,可以用程序代码产生;而对于正弦波,则可以使用宏模块实现。

3.3 模块设计⒈正弦波产生模块正弦波的产生可用图 3 - 2所示电路实现,其中XHQ_Cout是LAM计数器,XHQ_ROM是只读存储器。

ROM中保存正弦波信号的数据,其地址由计数器XHQ_Cout提供;而XHQ_Cout是一个8位加法计数器。

在时钟信号的控制下,计数器输出q[7..0]在00000000-11111111范围内循环变化,使ROM 输出周期性变化的正弦波形信号数据。

为此需要先设计计数器XHQ_Cout和只读存储器XHQ_ROM。

图 3 - 2 正弦波产生原理图⑴定制LPM计数器①新建工程文件后,选择【Tools】 【MegaWizard Plug-In Manager…】菜单命令,在弹出的如图 3 - 3所示〖MegaWizard Plug-In Manager[page 1]〗对话框中单击按钮,接着弹出图 3 - 4所示〖MegaWizard Plug-In Manager[page 2a]〗对话框。

EDA实验报告

EDA实验报告

EDA实验报告一、实验目的本实验旨在通过使用EDA(数据探索性分析)技术,进一步了解和分析所研究数据的特征、分布、关系以及可能存在的异常值等,从而为后续的数据建模和决策提供更加准确的依据。

二、实验步骤1. 数据收集与加载从数据源中获取所需数据集,并使用相应的数据加载工具将数据集导入到实验环境中。

多种数据源包括文件、数据库、API请求等方式均可。

2. 数据检查与预处理对导入的数据进行初步检查,包括数据类型、缺失值、异常值等方面的处理。

根据具体需求,对缺失值可以进行填充或删除操作,对异常值可以通过替换、删除或者修复的方式进行处理。

3. 数据探索性分析a) 描述性统计分析对各个变量进行描述性统计,包括计算均值、中位数、标准差等指标,以直观地了解数据的分布和变异程度。

b) 单变量分析对每个变量进行分析和探索,绘制直方图、箱线图、概率密度图等,以帮助我们了解变量的分布情况、异常值等。

c) 多变量分析使用散点图、柱状图、热力图等方式,对不同变量之间的关系进行分析。

可以通过相关性分析、协方差矩阵等方法来探索变量之间的线性关系。

4. 结果可视化在数据分析过程中,可以使用适当的可视化方法将分析结果直观地展示出来,如绘制折线图、散点图、热力图等。

可视化可以更好地理解数据的特征和趋势。

5. 异常检测与处理在探索性分析过程中,发现异常值后,需要进一步分析和决定如何处理它们。

可以采用剔除、修复等方式,使得数据能够更加符合实际情况。

6. 相关性分析对于关键变量之间的相互关系,可以使用相关性分析等统计方法来衡量它们的相关程度。

这可以帮助我们理解变量之间的影响和作用,以及它们与问题或目标变量之间的关系。

三、实验结果通过对所研究数据集的EDA实验,我们得出以下结论:1. 数据集的缺失值情况较为严重,需要进行适当的处理,以避免因缺失数据引起的结果不准确或失真的问题。

2. 变量A和变量B之间存在较强的正相关关系,即当A增加时,B 也会相应地增加;变量C则与变量A和B之间的关系较弱。

EDA实验三组合逻辑电路设计(一)--编译码器设计

EDA实验三组合逻辑电路设计(一)--编译码器设计

西安邮电学院实验中心实验报告院系电子工程学院班级学号姓名成绩教师签字实验日期实验名称组合逻辑电路设计(一)--编译码器设计_______________________________________________________一、实验目的二、实验所用仪表及主要器材三、实验原理简述四、实验测量记录:(如数据、表格、曲线、计算等)五、实验遇到的问题及解决办法:(余留问题,体会等)一、实验目的(1)熟悉组合逻辑电路的VHDL描述方法。

(2)掌握利用CPL器件实现组合逻辑数字电路的方法和过程。

(3)熟练掌握“case”语句与“if…else…”语句的用法。

二、实验所用仪表及主要器材PC,可编程逻辑实验电路板,下载线,USB电源线,双踪示波器,数字万用表,导线若干。

三、实验原理简述应用VHDL设计简单的逻辑电路四、实验内容在MAX+PULSII环境下,用VHDL语言按照输入—>编译—>仿真。

(1)8421BCD码转换为余3码转换表.在MAX+plusII 环境下,用VHDL 语言描述下列逻辑电路,并编译,仿真。

程序仿真结果:(2)设计一个优先编码器。

程序实现如下:仿真结果:五、实验结果见上述内容。

六、实验心得在本次实验中我学会了使用MAX+PLUSII软件的文本编程的方式设计电路。

在本次实验的文本编译环节中出现不少问题:(1)保存时文件名与实体名不一致,导致程序编译结果不正确。

(2)写程序时没有按照语法规则编写,使得文件编译频繁报错,标点的错误也会导致整个程序无法编译。

经过本次实验,加深了我对VHDL的文本编译设计的理解,今后我应该多练习MAX+PLUSII软件以减少错误。

EDA实验报告-实验3计数器电路设计

EDA实验报告-实验3计数器电路设计

暨南大学本科实验报告专用纸课程名称 EDA实验成绩评定实验项目名称计数器电路设计指导教师郭江陵实验项目编号 03 实验项目类型验证实验地点 B305 学院电气信息学院系专业物联网工程组号: A6一、实验前准备本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。

EDAPRO/240H实验仪主板的VCCINT跳线器右跳设定为; EDAPRO/240H实验仪主板的VCCIO 跳线器组中“”应短接,其余VCCIO均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT跳线器组设定为;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO跳线器组设定为。

请参考前面第二章中关于“电源模块”的说明。

二、实验目的1、了解各种进制计数器设计方法2、了解同步计数器、异步计数器的设计方法3、通过任意编码计数器体会语言编程设计电路的便利三、实验原理时序电路应用中计数器的使用十分普遍,如分频电路、状态机都能看到它的踪迹。

计数器有加法计数器、可逆计数器、减法计数器、同步计数器等。

利用MAXPLUSII已建的库74161、74390分别实现8位二进制同步计数器和8位二——十进制异步计数器。

输出显示模块用VHDL实现。

四、实验内容1、用74161构成8位二进制同步计数器(程序为T3-1);2、用74390构成8位二——十进制异步计数器(程序为T3-2);3、用VHDL语言及原理图输入方式实现如下编码7进制计数器(程序为T3-3):0,2,5,3,4,6,1五、实验要求学习使用Altera内建库所封装的器件与自设计功能相结合的方式设计电路,学习计数器电路的设计。

六、设计框图首先要熟悉传统数字电路中同步、异步计数器的工作与设计。

在MAX+PLUS II中使用内建的74XX库选择逻辑器件构成计数器电路,并且结合使用VHDL语言设计转换模块与接口模块,最后将74XX模块与自设计模块结合起来形成完整的计数器电路。

eda 实验 3

eda 实验 3

分频器(偶数次分频)一、实验目的1.学习分频器的设计,进一步了解、熟悉和掌握 FPGA开发软件 QuartusII 的使用方法2.学习 Verilog HDL 和 VHDL 的编程方法3.学会使用Vector Wave 功能仿真4.掌握使用多种方法分配管脚二、实验内容编写一个分频器的 Verilog 代码和 VHDL 代码并仿真,编译下载验证。

三、实验原理在数字电路中,时钟信号的分频是很常见的电路。

分频器除了可以对时钟信号频率做除以二的计算外,分频器同时很类似涟波计数器。

涟波计数器是计数器的一种,它属于异步设计。

因为触发器并非皆由同一个时钟信号同步操作,所以它非常节省电路面积。

本实验要设一个带选择的分 SEL[1:0]用于选择是几分频。

分频器设计原理框图如图所示:图1 设计原理框图从原理图中可见,核心板的时钟是50MHz,通过sel[1:0]选择分频数,00:不分频;01:12.5M分频;10:25M 四分频;11:50M 分频。

采用 SW1‐SW2 设置分频值,SW3 复位。

LED1 为时钟的输出,通过调整 SW1、SW2,可以得到不同的闪烁频率。

引脚分配情况表1 引脚分配设计端口芯片引脚开发平台模块设计端口芯片引脚开发平台模块clk PIN_P2 CLK1 rst PIN_W10 SW3sel[1] PIN_Y13 SW2 sel[0] PIN_AA16 SW1clkout PIN_AB20 LED1四、实验步骤1.新建工程,取名为 frediv2.新建 VHDL 设计文件,选择“File|New”,在 New对话框中选择 DeviceDesignFiles 下的 VHDLFile,单击 OK,完成新建设计文件。

3.在新建设计文件中输入 VHDL 程序,源代码如下:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_arith.all;Use ieee.std_logic_unsigned.all;entity frediv isport( clk :in std_logic;rst :in std_logic;sel :in std_logic_vector(1 downto 0);clkout:out std_logic);end frediv;architecture rt1 of frediv isbeginprocess(rst,clk)variable cn1,cn2,cn3:integer range 0 to 50000000;beginif rst='1'thenclkout<='0';elsif clk'event and clk='1'thenif sel="01"thenif cn1=12499999 thencn1:=0;elsecn1:=cn1+1;if cn1<=6249999 thenclkout<='1';elseclkout<='0';end if;end if;elsif sel="10" thenif cn2=24999999 thencn2:=0;elsecn2:=cn2+1;if cn2<=12499999 thenclkout<='1';elseclkout<='0';end if;end if;elsif sel="11" thenif cn3=49999999 thencn3:=0;elsecn3:=cn3+1;if cn3<=24999999 thenclkout<='1';elseclkout<='0';end if;end if;elseclkout<=clk;end if;end if;end process;end rt1;4.生成“Symbol”文件,新建“Block Diagram/Schematic File”文件,在文件中添加刚2所示刚生成的“Symbol”以及输入输出管脚,最后完整的系统顶层模块图如图图2顶层模块图5.保存文件,使用 qsf或者 tcl 进行管脚分配6.对该工程文件进行全程编译处理,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。

EDA 实验指导书

EDA  实验指导书

EDA技术基础实验指导书海南大学信息学院编目录实验一MAX –plusII及开发系统使用 1 实验二高速四位乘法器设计7 实验三秒表的设计9 综合性设计性实验实验四序列检测器的设计12 实验五数字频率计的设计14 数字密码锁17 交通灯控制器182EDA实验指导书实验一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。

传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。

而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。

3(一)Max+plusⅡ10.0的使用。

1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。

第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。

EDA实验报告

EDA实验报告

EDA实验报告EDA(VHDL编程)实验报告一、引言EDA (Electronic Design Automation) 是一种用于电子设计和验证的自动化工具。

VHDL(VHSIC Hardware Description Language)是一种硬件描述语言,用于描述和建模数字系统。

本实验旨在使用VHDL编程并使用EDA工具进行设计和验证。

二、实验目的1.理解并熟悉VHDL编程2.学会使用EDA工具进行设计和验证3.实践数字系统的建模和仿真三、实验过程1.VHDL编程根据实验要求,我们使用VHDL编程来实现一个4位2选1多路器。

首先,我们定义输入端口和输出端口,并声明所需的信号和变量。

然后,我们编写组合逻辑和时序逻辑以实现所需的功能。

最后,我们将实例化该多路器并指定其输入和输出端口。

2.EDA工具设计和验证我们选择了 Xilinx ISE Design Suite 作为我们的 EDA 工具。

首先,我们创建一个新的项目并选择相应的 FPGA 芯片。

然后,我们添加我们的VHDL 设计文件到项目中,并进行综合、布局和路由。

最后,我们使用仿真工具验证我们的设计。

四、实验结果经过实验,我们成功地编写并实例化了一个4位2选1多路器。

我们使用 Xilinx ISE Design Suite 进行综合、布局和路由,并成功验证了我们的设计。

五、实验总结通过本实验,我们掌握了VHDL编程和EDA工具的使用。

我们学会了使用VHDL描述数字系统,并使用EDA工具进行设计和验证。

这些技能对于电子设计和验证非常重要,并将有助于我们更好地理解和应用数字系统的原理和方法。

在实验过程中,我们也遇到了一些困难和挑战。

例如,我们可能需要更深入地了解VHDL编程的语法和方法,以及如何使用EDA工具的高级功能。

此外,我们还需要更多的实践来提高我们的设计和仿真技能。

总之,本实验有助于我们深入学习和理解数字系统的设计和验证。

通过实践和使用EDA工具,我们能够更好地应用所学知识,提高我们的设计和验证能力。

EDA技术(电子设计自动化)(本科)3

EDA技术(电子设计自动化)(本科)3

作业提交 31. EAB 中 RAM 的大小可灵活配置,Altera FLEX 10K 系列器件中的 EAB 作 RAM 用时, 有哪几种配置模式512x8, 1024x4, (A) 2048x2, 4096x1 [参考答案:D] 分值:5256x4, (B) 512x2,1024x1256x16, 512x8, (C) 1024x4, 2048x2256x8, 512x4, (D) 1024x2, 2048x10得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分2. 现代 EDA 设计思想是______________。

(A) 自下而上 (B) 自外而里 (C) 自里而外 (D) 自上而下[参考答案:D] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分3. 值为“1110”的标准逻辑矢量,进行 sll 运算后值为____________ 。

(A) 1100 (B) 1110 (C) 1010 (D) 111[参考答案:A] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分4. Altera FLEX 10K 系列器件主要由以下哪几部分组成GLB\ 全局 布线 区\输 (A) 出布 线区\ 加密 单元CLB\IOB\ 配置存储 (B) 单元\可编 程互连[参考答案:C] 分值:5EAB\LAB\ (C) 快速通道互连\I/O(D) EAB\LAB\GLB\IOB0得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分5. 字符串型文字 O“1234”的长度为___________。

(A) 16 (B) 4 (C) 12 (D) 8[参考答案:C] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分6. Altera 公司开发的开发软件为(A) ispDesignEXPERT (B) MaxplusⅡ (C) Foundation (D) ISE[参考答案:B] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分7. 根据 VHDL 语法规则,下面哪个标识符是非法的标识符(A) not—Ack (B) constant (C) FFT_1024_1 (D) state0[参考答案:B] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分8. MAX+PLUS 的文本文件类型是(后缀名)是(A) *.scf (B) *.sof (C) *.gdf (D) *.vhd[参考答案:D] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分9. 下面哪种语句不是顺序语句(A) wait 语句 (B) case 语句 (C) if 语句 (D) component 语句[参考答案:D] 分值:50得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分10. 国际上生产 FPGA/CPLD 的三家主流公司为Altera、 (A) Marax、Lattice 公司IBM、 Xilinx、 (B) Lattice 公 司[参考答案:C] 分值:5Altera、 (C) Xilinx、Lattice 公司Altera、 (D) Xilinx、AD公司0得分:分系统自动批改于 2018 年 11 月 22 日 17 点 28 分11. 关于数组 A 的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”, A(7 downto 5)=_____________。

eda实验报告完整版

eda实验报告完整版

EDA实验报告焦中毅201300121069实验1 4选1数据选择器的设计一、实验目的1.学习EDA软件的基本操作。

2.学习使用原理图进行设计输入。

3.初步掌握器件设计输入、编译、仿真和编程的过程。

4.学习实验开发系统的使用方法。

二、实验仪器与器材1.EDA开发软件一套2.微机一台3.实验开发系统一台4.打印机一台三、实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。

实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。

本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。

实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。

例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。

学会管脚锁定以及编程下载的方法等。

四、实验要求1.完成4选1数据选择器的原理图输入并进行编译;2.对设计的电路进行仿真验证;3.编程下载并在实验开发系统上验证设计结果。

五、实验结果4选1数据选择器的原理图:仿真波形图:管脚分配:实验2 四位比较器一、实验目的1.设计四位二进制码比较器,并在实验开发系统上验证。

2.学习层次化设计方法。

二、实验仪器与器材1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和0123B B B B ,输出为M (A=B ),G (A>B )和L (A<B )(如图所示)。

用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。

四、实验要求1.用硬件描述语言编写四位二进制码 比较器的源文件; 2.对设计进行仿真验证; 3.编程下载并在实验开发系统上进行 硬件验证。

eda实验报告完整版

eda实验报告完整版

eda实验报告完整版EDA实验报告一、文献综述EDA,全称为Exploratory Data Analysis,是一种数据探索性分析方法。

EDA通过多种可视化工具和数据分析技术快速探索数据集的特征和结构,从而发现其中的规律和异常,确定数据的可靠性和种类。

EDA的主要目的在于对数据进行全面的分析和理解,为后续的数据处理和建模提供参考。

EDA作为数据预处理的重要步骤,在数据分析和建模中占据着重要的地位。

目前,随着数据收集、存储和分析技术的快速发展,EDA正在成为数据分析中不可缺少的部分。

在大数据时代,EDA的发展已经超越了其传统的数据探索性分析功能,成为了快速调试和优化模型的重要手段。

二、实验目的本次实验旨在掌握EDA技术方法和可视化工具,在实际数据集中进行数据预处理和探索性分析。

主要目标包括:1.掌握常用的EDA方法和可视化工具。

2.通过对实际数据集处理和分析,了解数据的特征和结构。

3.确定数据集的质量、可靠性和种类。

4.为后续的数据处理和建模提供参考。

三、实验流程1.数据集的加载和清洗本次实验选用的数据集为Iris数据集,包含了鸢尾花的三个品种(Setosa、Versicolour、Virginica)的四个特征(sepal length、sepal width、petal length、petal width)共150个样本。

由于Iris数据集已经经过处理,因此不需要进行特殊的预处理。

为了更好地探索Iris数据集,我们将其存储为dataframe格式,以方便进行数据的各类统计和可视化。

2.数据特征的可视化在数据特征的可视化中,我们使用了多种可视化工具包括:ggplot2和ggpubr。

下面是我们在R语言环境下所使用的代码。

# 加载ggplot2和ggpubrlibrary(ggplot2)library(ggpubr)#加载Iris数据集data("iris")df = iris# 1.绘制直方图hist <- ggplot(df, aes(x = Sepal.Length)) +geom_histogram(fill = "blue", alpha = .5, bins = 30) +ggtitle("Distribution of Sepal.Length")# 2.绘制密度图density <- ggplot(df, aes(x = Sepal.Width, fill = Species)) +geom_density(alpha = .5) +scale_fill_manual(values = c("#00AFBB", "#E7B800", "#FC4E07")) +ggtitle("Density plot of Sepal.Width")# 5.绘制箱线图boxplot <- ggplot(df, aes(x = Species, y = Sepal.Length, fill = Species)) + geom_boxplot() +ggtitle("Boxplot of Sepal.Length by Species")上述代码会生成6个图表,分别为直方图、密度图、散点图、热力图、箱线图和柱状图。

eda第三次实验(10进制计数器数码管显示)

eda第三次实验(10进制计数器数码管显示)

--------顶层文件counter十进制计数并显示在LED上LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count ISPORT(CLK, RST, EN, LOAD :IN STD_LOGIC;DATA : IN STD_LOGIC_VECTOR (3 DOWNTO 0);COUT : OUT STD_LOGIC;LED7S:OUT STD_LOGIC_VECTOR (6 DOWNTO 0));END count;ARCHITECTURE BEHAVE OF count ISCOMPONENT CNT10 ISPORT(CLK, RST, EN, LOAD :IN STD_LOGIC;DATA : IN STD_LOGIC_VECTOR (3 DOWNTO 0);DOUT : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);COUT : OUT STD_LOGIC);END COMPONENT CNT10;COMPONENT DECL7S ISPORT (A:IN STD_LOGIC_VECTOR (3 DOWNTO 0);LED7S:OUT STD_LOGIC_VECTOR (6 DOWNTO 0));END COMPONENT DECL7S;SIGNAL S:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINU1:CNT10PORT MAP (CLK=>CLK,RST=>RST,EN=>EN,LOAD=>LOAD,DATA=>DATA,DOUT=>S,COUT=>COUT);U2:DECL7S PORT MAP (A=>S,LED7S=>LED7S);END ARCHITECTURE BEHAVE;---------------------cnt10 10进制计数LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT10 ISPORT(CLK, RST, EN, LOAD :IN STD_LOGIC;DATA : IN STD_LOGIC_VECTOR (3 DOWNTO 0);DOUT : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);COUT : OUT STD_LOGIC);END CNT10;ARCHITECTURE BEHAVE OF CNT10 ISBEGINPROCESS(CLK, RST, EN,LOAD)VARIABLE Q : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF RST = '0' THEN Q := (OTHERS=>'0');ELSIF CLK'EVENT AND CLK= '1' THENIF EN= '1' THENIF (LOAD= '0') THEN Q :=DATA;ELSEIF Q<15 THEN Q := Q+1;ELSE Q := (OTHERS=>'0');END IF;END IF;END IF;END IF;IF Q="1010" THEN COUT<='1';ELSE COUT<='0'; END IF;DOUT<=Q;END PROCESS;END BEHAVE;---------------------驱动LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY DECL7S ISPORT (A:IN STD_LOGIC_VECTOR (3 DOWNTO 0);LED7S:OUT STD_LOGIC_VECTOR (6 DOWNTO 0));END;ARCHITECTURE ONE OF DECL7S ISBEGINPROCESS (A) BEGINCASE A ISWHEN "0000" => LED7S <= "1000000";WHEN "0001" => LED7S <= "1111001";WHEN "0010" => LED7S <= "0100100";WHEN "0011" => LED7S <= "0110000";WHEN "0100" => LED7S <= "0011001";WHEN "0101" => LED7S <= "0010010";WHEN "0110" => LED7S <= "0000010";WHEN "0111" => LED7S <= "1111000";WHEN "1000" => LED7S <= "0000000"; WHEN "1001" => LED7S <= "0010000"; WHEN "1010" => LED7S <= "0001000"; WHEN "1011" => LED7S <= "0000011"; WHEN "1100" => LED7S <= "1000110"; WHEN "1101" => LED7S <= "0100001"; WHEN "1110" => LED7S <= "0000110"; WHEN "1111" => LED7S <= "0001110"; WHEN OTHERS => NULL;END CASE;END PROCESS;END;生成门电路图波形仿真图引脚锁定。

EDA技术实验报告

EDA技术实验报告

EDA技术实验报告实验一利用原理图输入法设计4位全加器一、实验目的:掌握利用原理图输入法设计简单组合电路的方法,掌握MAX+plusII 的层次化设计方法。

通过一个4位全加器的设计,熟悉用EDA 软件进行电路设计的详细流程。

二、实验原理:一个4位全加器可以由4个一位全加器构成,全加器的进位以串行方式实现,即将低位加法器的进位输出cout 与相邻的高位加法器的低位进位输入信号cin 相接。

1位全加器f-adder 由2个半加器h-adder 和一个或门按照下列电路来实现。

半加器h-adder 由与门、同或门和非门构成。

四位加法器由4个全加器构成三、实验内容:1. 熟悉QuartusII 软件界面,掌握利用原理图进行电路模块设计的方法。

QuartusII 设计流程见教材第五章:QuartusII 应用向导。

2.设计1位全加器原理图(1)生成一个新的图形文件(file->new->graphic editor )(2)按照给定的原理图输入逻辑门(symbol ->enter symbol) COCO 1S 2S 3S 4(4)为管脚和节点命名:在管脚上的PIN_NAME处双击鼠标左键,然后输入名字;选中需命名的线,然后输入名字。

(5)创建缺省(Default)符号:在File菜单中选择Create Symbol Files for Current File项,即可创建一个设计的符号,该符号可被高层设计调用。

3.利用层次化原理图方法设计4位全加器(1)生成新的空白原理图,作为4位全加器设计输入(2)利用已经生成的1位全加器的缺省符号作为电路单元,设计4位全加器的原理图.4.新建波形文件(file->new->Other Files->Vector Waveform File),保存后进行仿真(Processing ->Start Simulation),对4位全加器进行时序仿真。

初识EDA--3三八译码器(下)

初识EDA--3三八译码器(下)

5-14
进入引脚分配界面
首先将要分配管脚的信号放置在To下方。双击To下方的 《New》,如图5-14所示则会出现如图5-15所示界面。
5-15
信号选择对话框
选择Node Finder…进入如图5-16所示的Node Finder对话框界面。 按图5-16中样例设置参数。在Filter窗口选择Pins:all,在Named窗 口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称, 点击中间的 按钮则Selected Nodes窗口下方出现被选择的端口 名称。
5)如果软件已运行一个工程,则在打开编程器的时候,编程器 窗口会自动出现这个工程文件要加载到目标器件的文件,如果要加 载其它文件可以从其它地方进行添加更改。选好加载文件后,再点 选Progam/Configure,编程模式选取JTAG模式,点击STRAT进 行文件加载,直到加载进度变为100%,文件成功加载完成。 6)手动控制实验箱上的K1、K2、K3即可观察到实验结果
步骤一:在Assignment Name栏内双击后,在下拉菜单中选中Location (Accepts wildcards/groups)选项
步骤二:在Value栏下填入实验箱管脚121,注意各实验箱对应的管脚也不同, 也可选择该实验箱上其他任意不同的管脚
步骤三:管脚填写完毕后,字体颜色自动变黑,则填写正确 5-18 给A端口进行管脚分配
器件EP1C6Q240C8进行加载的方法。
在程序加载之前,注意,一定要先完成管脚的分配和 定义,然后将管脚分配的文件保存,让 图标上方的*消失后,再进行编译,编译正确无误之后, 才可以进行程序下载!
1)将实验箱插上电源插头。 2)使用USB下载电缆插入USB-Blaster端口中,用手轻轻握住 USB-Blaster 连接盒,注意在没有下载完成前不要轻易放下,以免 连接盒磕碰后造成USB指示灯熄灭。观察连接盒上中间的USB指示 灯点亮后,则可进入到下一步设置。若不亮则需要重新拔出下载电 缆,再重新操作,直至USB指示灯点亮。 3)选择QUARTUSII软件的Tool>Programmer命令,弹出编 程器窗口,无论图中的No Hardware项,是否曾经设置,都需要 对其进行重新设置,如图5-32所示。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验三带有复位和时钟使能的十进制计数器
一、实验目的
1. 熟悉进程和signal的运用;
2. 进一步熟悉7段数码显示器的使用方法;
3. 进一步熟悉VHDL语句、语法。

二、实验内容
运用Quartus II 集成环境下的VHDL文本设计方法设计带有复位和时钟使能的十进制计数器,要求对输入的脉冲信号进行计数,并在实验箱的7段数码管上显示对应的十六进制计数值。

要求具有复位和使能功能,并能输出进位信号。

进行波形仿真和分析、引脚分配并下载到实验设备上进行功能测试。

三、实验步骤及参考程序
实验步骤和方法参考实验一,引脚分配可参考右图。

参考程序:
--引用
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--实体
entity cnt10 is
port( clk,rst,en: in std_logic; --rst:复位;
led7s: out std_logic_vector(7 downto 0);
cout: out std_logic);--进位信号
end;
--结构体
architecture bhh of cnt10 is
signal cq: std_logic_vector(3 downto 0);
begin
--clk上升沿计数
process(clk,rst,en)
variable cqi :std_logic_vector(3 downto 0);
begin
--复位
if rst='1' then
cqi:=(others=>'0');
--en为高电平时候计数
elsif clk'event and clk='1' then
if en='1' then
if cqi<9 then
cqi:=cqi+1;
else
cqi:=(others=>'0');
end if;
end if;
end if;
--进位
if cqi=9 then
cout<='1' ;
else
cout<='0';
end if;
cq<=cqi;
end process;
--当十进制的计数结果cq变化时,将其译为7段码显示
process(cq)
begin
case cq is
--第8位为数码管使能位
--低7位控制7段数码管,从低位到高位依次为ABCDEFG段
when "0000"=>led7s<="11000000";--0,数码管的G段不亮,显示为0
when "0001"=>led7s<="11111001";--1
when "0010"=>led7s<="10100100";--2
when "0011"=>led7s<="10110000";--3
when "0100"=>led7s<="10011001";--4
when "0101"=>led7s<="10010010";--5
when "0110"=>led7s<="10000010";--6
when "0111"=>led7s<="11111000";--7
when "1000"=>led7s<="10000000";--8
when "1001"=>led7s<="10010000";--9
when "1010"=>led7s<="10001000";--A
when "1011"=>led7s<="10000011";--B
when "1100"=>led7s<="11000110";--C
when "1101"=>led7s<="10100001";--D
when "1110"=>led7s<="10000110";--E
when "1111"=>led7s<="10001110";--F
when others=>null;
end case;
end process;
end;
波形图:
四、实验扩展及思考
1、思考十六进制计数器的设计方法
实验三带有并行置位的移位寄存器
一、实验目的
1. 学习移位寄存器的设计方法;
2. 进一步熟悉VHDL语句、语法。

二、实验内容
运用Quartus II 集成环境下的VHDL文本设计方法设计带有并行置位的移位寄存器,要求具有置位功能。

进行波形仿真和分析、引脚分配并下载到实验设备上进行功能测试。

三、实验步骤及参考程序
实验步骤和方法参考实验一,引脚分配可参考右图。

参考程序:
--引用
library ieee;
use ieee.std_logic_1164.all;
--实体
entity shfrt is
port(clk,load : in std_logic;
din : in std_logic_vector(7 downto 0);
qb : out std_logic);
end;
--结构体
architecture bha of shfrt is
begin
process(clk,load)
variable reg8:std_logic_vector(7 downto 0);
begin
if clk'event and clk='1' then
if load ='1' then
reg8:=din;
else
reg8(6 downto 0) :=reg8(7 downto 1);
end if ;
end if ;
qb<=reg8(0);
end process;
end;
四、实验扩展及思考
1、思考在本试验的基础上,增加移位方向的控制。

2、思考循环移位寄存器的设计方法。

实验九较复杂电路的原理图设计
一、实验目的
1. 熟练掌握使用原理图设计较复杂电路;
2. 学习原理图设计中总线的表示以及使用方法。

二、实验内容
运用Quartus II 集成环境下的图形设计方法设计有时钟使能的两位十进制计数器。

进行波形仿真和分析、引脚分配并下载到实验设备上进行功能测试。

三、实验步骤及参考电路图
参考电路:
图9-1 参考电路图
注意事项:如果输出端口使用总线类型,要先选定线形,线型,再画总线。

总线的命名原则形如:q[7..0],表示q为一条8位宽的总线。

总线中的某一根信号线用q[1]、q[2]等形式表示,线型为单根信号线。

如图9-1。

总线和单根信号线的命名方法都是用鼠标左键点中,当信号线变为蓝色时,直接用键盘输入信号线的名字;修改时,双击信号线名字既可以更改。

注意一定不能使用图9-2中的文字输入工具进行信号线的命名!
图9-2 总线类型
9-3 引脚分配图
图9-4 波形图
四、实验扩展及思考
1、思考怎么样使用VHDL语言描述该电路,实现同样的功能。

相关文档
最新文档