EDA技术期末试卷(含答案)
EDA技术期末试卷含答案资料
精品文档一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。
A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条件相或的逻辑电路C.三态控制电路是A.QuartusIIAltera提供的FPGA/CPLD集成开发环境D.双向控制电路10.在VHDLAlteraB.是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。
A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then 前一代C.MAX+plusII是AlteraFPGA/CPLD集成开发环境QuartusII的更C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then11.下列那个流程是正确的基于.DQuartusII完全支持VHDL、Verilog的设计流程EDA软件的FPGA / CPLD设计流程 BA.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试开发工具中的专用综合器的是2.以下工具中属于FPGA/CPLD BB.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试C .Active HDL D.QuartusII Leonardo Spectrum .AModelSim B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;.3以下器件中属于Xilinx 公司生产的是 C/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试D.BMAX系列器件.原理图A.ispLSI系列器件)语句的语句结构及语法规则语言中,下列对进程(PROCESS系列器件C.XC9500系列器件D.FLEX 12.在VHDL 。
A 的描述中,正确的是以下关于信号和变量的描述中错误的是4. B为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线PROCESSAA .信号的定义范围是结构体、进程B 成后,等待下一次进程启动C.除了没有方向说明以外,信号与实体的端口概念是一致的B.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成D.当前进程中声明的变量也可用于其他进程以下关于状态机的描述中正确的是5. BB MooreA.型状态机其输出是当前状态和所有输入的函数13.下列语句中,不属于并行语句的是语句B.CASE 型的输出变化要领先一个时钟周期型状态机相比,.与BMooreMealy A.进程语句…语句…ELSE D.WHEN .元件例化语句MealyC.型状态机其输出是当前状态的函数 C设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的14.以上都不对D .VHDL B 下列标识符中,.库是不合法的标识符。
eda技术实用教程期末考试题及答案
eda技术实用教程期末考试题及答案一、选择题(每题2分,共20分)1. EDA技术中,FPGA代表的是()。
A. 现场可编程逻辑阵列B. 现场可编程门阵列C. 现场可编程逻辑器件D. 现场可编程门器件答案:B2. 在EDA技术中,VHDL是一种()。
A. 硬件描述语言B. 软件描述语言C. 系统描述语言D. 网络描述语言答案:A3. 下列哪个不是EDA工具的主要功能()。
A. 逻辑综合B. 电路仿真C. 代码编译D. 布局布线答案:C4. 在VHDL中,下列哪个关键字用于定义并行语句()。
A. ifB. beginC. loopD. process答案:B5. 在EDA技术中,用于测试和验证数字电路的EDA工具是()。
A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:B6. 下列哪个不是FPGA的配置方式()。
A. 在系统可编程B. 串行配置C. 并行配置D. 网络配置答案:D7. 在VHDL中,用于定义信号的关键字是()。
B. constantC. signalD. type答案:C8. 在EDA技术中,用于描述数字电路行为的模型是()。
A. 结构模型B. 数据流模型C. 行为模型D. 混合模型答案:C9. 在VHDL中,下列哪个关键字用于定义过程()。
A. procedureB. functionD. entity答案:C10. 在EDA技术中,用于优化电路性能的EDA工具是()。
A. 逻辑综合工具B. 电路仿真工具C. 布局布线工具D. 测试生成工具答案:C二、填空题(每题2分,共20分)1. EDA技术中的“EDA”代表的是______、______和______。
答案:电子设计自动化2. VHDL中的并发语句包括______、______、______和______。
答案:信号赋值、条件信号赋值、选择信号赋值、元件实例化3. 在FPGA设计中,______是用于存储配置数据的非易失性存储器。
EDA期末考试试卷
EDA期末考试试卷期末考试《EDA》试卷A一、填空题:(每空1分,共20分)1、一般把EDA技术的发展分为、和三个阶段。
2、VHDL的全称是3、VHDL年正式推出,是目前标准化程度最高的硬件描述语言。
4、一个完整的VHDL设计实体由、和等部分构成。
5、VHDL组成。
6、VHDL字符是以括起来的数字、字母和数字。
7、VHDL,只能在进程、函数和过程中声明和使用。
8、VHDL顺序语句只出现在、和中,是按程序书写的顺序自上而下、一条一条地执行。
9、VHDL子程序有和两种类型。
10、在VHDL二、选择题:(每小题2分,共10分)1、用VHDL语言描写的的源程序文件后缀为()A.某.wdfB.某.gdfC.某.vhdD.某.ym2、IEEE与1987年公布了VHDL的语法标准为()A.IEEESTD1076_1987B.RS232C.IEEE.STD_LOGIC_1164D.IEEESTD1076_19933、一个实体可以拥有一个或者多个()。
A.设计实体B.结构体C.输入D.输出4、在下列标识符中,()是VHDL合法标识符。
A.4h_addeB.h_adde_C.h_addeD._h_adde5、在VHDL中,为目标变量的赋值符号为()A.=:B.=C.:=D.《=三、简答题:(每题5分,共20分)12、变量赋值语句与信号赋值语句的区别?3、PROCESS语句的特点。
4、if语句包括哪几种类型?写出每种类型的语法格式。
四、程序改错:(找出错误并改正,找出每处错误1分,改正1分共20分)1、architecturertloftartiProceclkignalcount:integerrange0to7;beginif(clk'eventandclk='1')count<=count+1;if(count=0)thencarryout<=1;elecarryout<='0';endif;endproce;endrtl;2、libraryieee;ueieee_td_logic_1164.all;entitydm(a,b:intd_logic;c:outtd_logic)endmd;architecturelifordmibeginc:=aandb;五、编程题:(第1题6分,第2题6分,第3题9分,第4题9分,共30分)1、利用VHDL语言描述一个三态门电路2、利用VHDL语言描述4位二进制数据比较器3、利用VHDL语言描述一个同步置位、复位的D触发器4、利用VHDL语言设计一个分频系数为16的分频器期末考试《EDA实验》试卷B一、填空题:(每题6分,共30分)1、常用的设计输入方式有、2、库提供了基本的逻辑元器件。
EDA期末考试试卷及答案(word文档良心出品)
密 封 线 内 不 得 答题班级 学号姓名赣 南 师 范 学 院2010—2011学年第一学期期末考试试卷(A 卷)(闭卷)年级 2008 专业 电子科学与技术 (本)课程名称 EDA 技术基础2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线;3、答题请用蓝、黑钢笔或圆珠笔。
一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 BA .适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件B .适配所选定的目标器件可以不属于原综合器指定的目标器件系列C .适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D .通常,EDAL 软件中的综合器可由专业的第三方EDA 公司提供,而适配器则需由FPGA/CPLD 供应商提供2.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。
A .器件外部特性B .器件的综合约束C .器件外部特性与内部功能D .器件的内部功能 3.下列标识符中, B 是不合法的标识符。
A .State0B .9moonC .Not_Ack_0D .signall 4.以下工具中属于FPGA/CPLD 集成化开发工具的是 DA .ModelSimB .Synplify ProC .MATLABD .QuartusII5.进程中的变量赋值语句,其变量更新是 A 。
A .立即完成B .按顺序完成C .在进程的最后完成D .都不对 6.以下关于CASE 语句描述中错误的是 AA .CASE 语句执行中可以不必选中所列条件名的一条B .除非所有条件句的选择值能完整覆盖CASE 语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>”C .CASE 语句中的选择值只能出现一次D . WHEN 条件句中的选择值或标识符所代表的值必须在表达式的取值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A .STD_LOGIC_ARITHB .STD_LOGIC_1164C .STD_LOGIC_UNSIGNEDD .STD_LOGIC_SIGNED 8.基于EDA 软件的FPGA / CPLD 设计流程为:原理图/HDL 文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。
EDA期末试卷
《EDA》期末试卷一、选择题(每小题3分,共30分)1、在下图中,F0的逻辑关系为:A.A0⊕A1 B.A0⊙A1 C.A0·A1 D.A0·A12、在VHDL中,用语句()表示clock的下降沿。
A.clock='1'B.clock'EVENT AND clock='1'C.clock='0'D.clock'EVENT AND clock='0'3、如果p1=’1’,p2=’1’,则执行z <= a WHEN p1 = '1' ELSE b WHEN p2 = '1' ELSE c ;之后z 的值为:A.aB.bC.cD.不确定4、进程中的信号赋值语句,其信号更新是:A.按顺序完成 B.比变量更快完成 C.在进程最后完成 D.都不对5、不完整的IF语句,其综合结果可实现:A.时序逻辑电路B.组合逻辑电路C.双向电路D.三态控制电路6、reg的数据类型为std_logic_vector(7 downto 0),初值为FF,执行reg(0)<=’0’;reg(7 downto 1)<=reg(6 downto 0);之后,reg的值为:A.FF B.FE C.FC D.FD7、在VHDL中()不能将信息带出对它定义的当前进程。
A. 信号B. 常量C. 数据D. 变量8、执行下列语句后Q的值等于:……SIGNAL E: STD_LOGIC_VECTOR (2 TO 5);SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2);……E<=(2=>’1’, 4=>’1’, OTHERS=>’0’);Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4));……A.”11011011”B.”00110100”C.”11011001”D.”00101100”9、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。
电子设计自动化(eda)期末考试试题及答案
任课教师教研室主任签名教学院长签名成绩统计表题号一二三四五六七八合计得分阅卷人考生姓名:____________ 学号___________ 专业班级一、判断题(10分)(1)适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,产生最终的下载文件()(2)硬IP提供设计的最终阶段产品:掩模。
()(3)MAX7000的一个LAB由16个宏单元的阵列组成。
()(4)FPGA是基于查找表结构的器件。
()(5)在QUARTUSⅡ中,工作文件夹允许是根目录。
( )(6)STD_LOGIC中,‘0’,‘1’,‘Z’,‘W’可以综合。
()(7)在case语句中允许有相同选择值的条件句出现。
()(8)在vhdl中常量具有全局性。
()(9)在vhdl中变量可在结构体和进程中定义和使用。
()(10)在进程中同一信号有多个赋值源,实际完成赋值的是最接近begin 的信号。
()二、简答题(15分)1、简述fpga/cpld的设计流程。
(5分)2、在vhdl中端口模式有那几种?并说明数据流动方向。
(4分)3、简述一般状态机的结构及各部分的作用。
(6分)三、改错;找到5处错误并改正(10分)LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;ENTITY CNT4 ISPORT ( CLK : IN STD_LOGIC ;Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) )END ;ARCHITECTURE bhv OF CNT ISSIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS (CLK)BEGINIF CLK'EVENT AND CLK THENQ1 <= Q1 + 1 ;END PROCESS ;Q <= Q1 ;END bhv;四、设计,要求写出完整的vhdl代码。
(65分)1、16位硬件加法器,要求有进位输入和进位输出。
EDA期末试卷及答案
EDA期末试卷及答案B.综合的输出是一个网表,包括逻辑门和它们之间的连接关系;C.综合的目的是将高层次的抽象设计转化为低层次的逻辑电路;D.综合只能在设计输入完成后进行,不能在设计实现和实际设计检验阶段进行。
一、填空题1.EDA技术的发展可分为MOS时代、CMOS时代和ASIC三个阶段。
2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。
3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。
4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。
5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的。
6.设计结束后必须进行仿真,以检查设计文件的正确性。
7.EDA方式设计实现的电路设计文件最终可以编程下载到FPGA和CPLD芯片中,完成硬件设计和验证。
8.MAX+PLUS的文本文件类型是“.vhd”。
9.在PC上利用VHDL进行项目设计时,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。
10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。
二、选择题:11.在EDA工具中,能完成在目标系统器件上布局布线软件称为“适配器”。
12.执行MAX+PLUSⅡ的“TimingAnalyzer”命令可以精确分析设计电路输入与输出波形间的延时量。
13.VHDL常用的库是“XXX”。
14.“PROCESS语句”既是并行语句又是串行语句。
15.在VHDL中,用语句“clock’EVENT AND clock=’0’”表示clock的下降沿。
16.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为“胖IP”。
17.综合是EDA设计流程的关键步骤,在下面对综合的描述中,“综合只能在设计输入完成后进行,不能在设计实现和实际设计检验阶段进行”是错误的。
eda期末考试试卷
eda期末考试试卷EDA期末考试试卷一、选择题(每题2分,共20分)1. EDA是指:A. 电子设计自动化B. 电子数据交换C. 电子文档分析D. 电子设备应用2. 在EDA工具中,用于绘制电路原理图的软件通常被称为:A. PCB DesignerB. Schematic CaptureC. Logic SimulatorD. Layout Editor3. 下列哪个不是数字逻辑门的基本类型:A. ANDB. ORC. NOTD. XOR4. 以下哪个是EDA工具中用于模拟电路行为的软件:A. VHDLB. VerilogC. RTL SimulatorD. PCB Router5. 在设计一个数字电路时,以下哪项不是设计流程的一部分:A. 需求分析B. 原理图绘制C. 电路板设计D. 手工焊接6. FPGA代表:A. 现场可编程门阵列B. 固定门阵列C. 通用门阵列D. 专用集成电路7. 在VHDL或Verilog中,以下哪个关键字用于定义一个过程:A. processB. moduleC. functionD. package8. 以下哪个是EDA工具中用于生成电路板布局的软件:A. Schematic CaptureB. Layout EditorC. PCB DesignerD. Logic Simulator9. 在数字电路设计中,同步设计和异步设计的主要区别在于:A. 使用的逻辑门类型B. 电路的复杂性C. 时钟信号的使用D. 电路的功耗10. 下列哪个不是常用的PCB设计软件:A. Altium DesignerB. EagleC. KiCadD. MATLAB二、简答题(每题10分,共30分)1. 简述EDA工具在电子设计过程中的作用和重要性。
2. 解释什么是信号完整性,并讨论它在高速电路设计中的重要性。
3. 描述一个典型的数字电路设计流程,并解释每个步骤的目的。
三、计算题(每题15分,共30分)1. 给定一个简单的数字逻辑电路,包含两个输入A和B,一个输出Y。
最新EDA技术期末试卷(含答案)资料
一、单项选择题(30分)1.以下描述错误的是 CA.QuartusII是Altera提供的FPGA/CPLD集成开发环境B.Altera是世界上最大的可编程逻辑器件供应商之一C.MAX+plusII是Altera前一代FPGA/CPLD集成开发环境QuartusII的更新换代新产品D.QuartusII完全支持VHDL、Verilog的设计流程2.以下工具中属于FPGA/CPLD开发工具中的专用综合器的是 B A.ModelSim B.Leonardo Spectrum C.Active HDL D.QuartusII 3.以下器件中属于Xilinx 公司生产的是 CA.ispLSI系列器件B.MAX系列器件C.XC9500系列器件D.FLEX系列器件4.以下关于信号和变量的描述中错误的是 BA.信号是描述硬件系统的基本数据对象,它的性质类似于连接线B.信号的定义范围是结构体、进程C.除了没有方向说明以外,信号与实体的端口概念是一致的D.在进程中不能将变量列入敏感信号列表中5.以下关于状态机的描述中正确的是 BA.Moore型状态机其输出是当前状态和所有输入的函数B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数D.以上都不对6.下列标识符中, B 是不合法的标识符。
A.PP0 B.END C.Not_Ack D.sig7.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是 C 。
A.CPLD即是现场可编程逻辑器件的英文简称B.CPLD是基于查找表结构的可编程逻辑器件C.早期的CPLD是从GAL的结构扩展而来D.在Altera公司生产的器件中,FLEX10K 系列属CPLD结构8.综合是EDA设计流程的关键步骤,在下面对综合的描述中, D 是错误的。
A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)9.嵌套使用IF语句,其综合结果可实现 A 。
电子科技大学《EDA技术》20春期末考试
电子科技大学《EDA技术》20春期末考试
(单选题)1: 在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用()表示的。
A: 小写字母和数字
B: 大写字母数字
C: 大或小写字母和数字
D: 全部是数字
正确答案: B
(单选题)2: 在VHDL中,IF语句中至少应有1个条件句,条件句必须由()表达式构成。
A: BIT
B: STD_LOGIC
C: BOOLEAN
D: INTEGER
正确答案: C
(单选题)3: “a=4’b1100,b=4’bx110”选出正确的运算结果()。
A: a&b=0
B: a&&b=1
C: b&a=x
D: b&&a=x
正确答案: B
(单选题)4: VHDL的字符是以( )括起来的数字、字母和符号。
A: 单引号
B: 双引号
C: 括号
D: 方括号
正确答案: A
(单选题)5: STD_LOGIG_1164中定义的高阻是字符()。
A: X
B: x
C: z
D: Z
正确答案: D
(单选题)6: 以下不属于EDA技术的特点是()。
A: 用软件方式设计硬件
B: 用硬件方式设计软件
C: 设计过程中可仿真
D: 系统可现场编程
正确答案: D
(单选题)7: 过程调用前需要将过程首和过程体装入()中。
EDA技术期末试卷(含答案)
班级 学号 姓名密 封 线 内 不 得 答 题一、单项选择题(30分) 1.以下描述错误的是CA .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境B .Altera 是世界上最大的可编程逻辑器件供应商之一C .MAX+plusII 是Altera 前一代FPGA/CPLD 集成开发环境QuartusII 的更新换代新产品D .QuartusII 完全支持VHDL 、Verilog 的设计流程2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 BA .ModelSimB .Leonardo SpectrumC .Active HDLD .QuartusII 3.以下器件中属于Xilinx 公司生产的是 CA .ispLSI 系列器件B .MAX 系列器件C .XC9500系列器件D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 BA .信号是描述硬件系统的基本数据对象,它的性质类似于连接线B .信号的定义范围是结构体、进程C .除了没有方向说明以外,信号与实体的端口概念是一致的D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 BA .Moore 型状态机其输出是当前状态和所有输入的函数B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期C .Mealy 型状态机其输出是当前状态的函数D .以上都不对6.下列标识符中, B 是不合法的标识符.A .PP0B .ENDC .Not_AckD .sig7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C .A .CPLD 即是现场可编程逻辑器件的英文简称B .CPLD 是基于查找表结构的可编程逻辑器件C .早期的CPLD 是从GAL 的结构扩展而来D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构 8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。
eda期末考试题及答案
eda期末考试题及答案EDA期末考试题及答案一、选择题(每题2分,共20分)1. EDA代表的是:A. 电子设计自动化B. 电子数据交换C. 电子文档管理D. 电子设备分析答案:A2. 在EDA中,HDL指的是:A. 高级硬件描述语言B. 硬件描述语言C. 硬件设计语言D. 硬件开发语言答案:B3. 下列哪个不是EDA工具的常见功能?A. 仿真B. 布局C. 布线D. 编程答案:D4. FPGA代表的是:A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列答案:A5. VHDL是一种:A. 编程语言B. 硬件描述语言C. 数据库语言D. 操作系统答案:B6. 以下哪个是EDA软件的典型应用?A. 网页设计B. 游戏开发C. 电子电路设计D. 办公自动化答案:C7. 在EDA设计流程中,综合是指:A. 将设计从逻辑级别转换为门级别B. 将设计从门级别转换为晶体管级别C. 将设计从晶体管级别转换为物理布局D. 将设计从物理布局转换为最终产品答案:A8. 下列哪个是EDA设计中的错误?A. 功能错误B. 语法错误C. 布局错误D. 所有选项都是答案:D9. 以下哪个不是EDA设计中的测试类型?A. 功能测试B. 性能测试C. 压力测试D. 代码测试答案:D10. 在EDA中,后仿真分析是指:A. 在仿真之前进行的分析B. 在仿真之后进行的分析C. 在仿真过程中进行的分析D. 不进行任何分析答案:B二、简答题(每题10分,共30分)1. 请简述EDA在电子设计中的重要性。
答案:EDA(电子设计自动化)是现代电子设计不可或缺的工具,它允许设计师使用软件工具来设计和验证电子系统,从而提高设计效率,减少错误,加快产品上市时间,并且可以设计出更复杂、更高性能的电子系统。
2. 描述一下在EDA设计流程中,仿真的作用是什么?答案:在EDA设计流程中,仿真是一个关键步骤,它允许设计师在实际制造电路之前验证设计的功能和性能。
《EDA技术与实践》期末复习资料(含答案)
泉州经贸职业技术学院《EDA技术与实践》期末复习资料(含答案)一、选择题1、在执行MAX+PLUSⅡ的 D 命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B. SimulatorC. compilerD.Timing Analyzer2、VHDL常用的库是 AA. IEEEB.STDC. WORKD. PACKAGE3、下面既是并行语句又是串行语句的是 CA.变量赋值B.信号赋值C.PROCESS语句D.WHEN…ELSE语句4、在VHDL中,用语句 D 表示clock的下降沿。
A. clock’EVENTB. clock’EVENT AND clock=’1’C. clock=’0’D. clock’EVENT AND clock=’0’1. 一个项目的输入输出端口是定义在 A 。
A. 实体中B. 结构体中C. 任何位置D. 进程体2. 描述项目具有逻辑功能的是 B 。
A. 实体B. 结构体C. 配置D. 进程3. 关键字ARCHITECTURE定义的是 A 。
A. 结构体B. 进程C. 实体D. 配置4. MAXPLUSII中编译VHDL源程序时要求 C 。
A.文件名和实体可不同名B.文件名和实体名无关C. 文件名和实体名要相同D. 不确定5. 1987标准的VHDL语言对大小写是 D 。
A. 敏感的B. 只能用小写C. 只能用大写D. 不敏感6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。
A. 必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。
A. 下划线可以连用B. 下划线不能连用C. 不能使用下划线D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 A 。
A. A_2B. A+2C. 2AD. 229. 符合1987VHDL标准的标识符是 A 。
EDA技术期末试卷含答案
一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。
A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条件相或的逻辑电路C.三态控制电路A.QuartusII是Altera提供的FPGA/CPLD集成开发环境D.双向控制电路10.在VHDLB.Altera是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。
A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then MAX+plusIIC.是Altera前一代FPGA/CPLD集成开发环境QuartusII的更C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then11.下列那个流程是正确的基于VerilogD.QuartusII完全支持VHDL、的设计流程EDA软件的FPGA / CPLD设计流程 BA.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试.2以下工具中属于FPGA/CPLD开发工具中的专用综合器的是 BB.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试.Leonardo Spectrum C.Active HDL DQuartusII ModelSim A.B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;以下器件中属于3.Xilinx 公司生产的是 C/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试DMAXB.系列器件.原理图.AispLSI系列器件)语句的语句结构及语法规则语言中,下列对进程(PROCESS D .CXC9500系列器件.FLEX系列器件12.在VHDL 。
A 的描述中,正确的是4.以下关于信号和变量的描述中错误的是 B为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线A PROCESSA B.信号的定义范围是结构体、进程成后,等待下一次进程启动BC.除了没有方向说明以外,信号与实体的端口概念是一致的.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D B .5以下关于状态机的描述中正确的是.当前进程中声明的变量也可用于其他进程 B 型状态机其输出是当前状态和所有输入的函数A.Moore 13.下列语句中,不属于并行语句的是语句B.CASE MooreB.与型状态机相比,Mealy型的输出变化要领先一个时钟周期A.进程语句…语句…ELSE D.WHEN .元件例化语句型状态机其输出是当前状态的函数.CMealy C设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的D.以上都不对14.VHDL 下列标识符中, B 库是不合法的标识符。
eda试题及答案
eda试题及答案一、单选题(每题2分,共10分)1. EDA的全称是:A. 电子数据交换B. 电子设计自动化C. 电子文档自动化D. 电子设备自动化答案:B2. 在EDA中,HDL指的是:A. 高级数据语言B. 硬件描述语言C. 硬件设计语言D. 硬件描述逻辑答案:B3. 以下哪个不是EDA软件的主要功能?A. 逻辑综合B. 布局布线C. 编译代码D. 时序分析答案:C4. 在EDA设计流程中,FPGA指的是:A. 现场可编程逻辑阵列B. 固定可编程逻辑阵列C. 现场可编程门阵列D. 固定可编程门阵列答案:C5. 在EDA设计中,仿真测试的目的是:A. 验证设计的正确性B. 优化设计的性能C. 检查设计的安全性D. 以上都是答案:A二、多选题(每题3分,共15分)6. EDA工具通常包括以下哪些功能?A. 原理图捕获B. 波形仿真C. 代码编译D. 硬件调试答案:ABD7. 在EDA设计中,以下哪些是常见的设计阶段?A. 需求分析B. 逻辑设计C. 物理设计D. 测试验证答案:ABCD8. 以下哪些是EDA设计中常用的硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog9. 在EDA设计流程中,以下哪些是布局布线阶段的任务?A. 确定电路的物理布局B. 优化电路的布线C. 进行时序分析D. 编写测试代码答案:ABC10. 以下哪些是FPGA设计的优势?A. 快速原型验证B. 灵活性高C. 成本较低D. 易于集成答案:ABCD三、判断题(每题2分,共10分)11. EDA工具可以完全替代人工进行电路设计。
()答案:×12. HDL语言可以用来描述数字电路的行为。
()答案:√13. FPGA设计不需要进行时序分析。
()答案:×14. EDA设计流程中,仿真测试是最后一步。
()答案:×15. 逻辑综合是将HDL代码转换为门级网表的过程。
01期末考试试卷A卷(EDA技术_06通信本)
石家庄学院2008—2009学年第二学期《EDA技术》期末考试试卷系电气信息工程专业通信工程班级06级班姓名学号一、填空题(本大题共10个小题,每题2分,共20分)1.传统的电子设计方法通常是________,EDA技术采用的设计方法是________。
2.VHDL源程序的扩展名为________,仿真文件的扩展名为________,配置文件的扩展名为________,PC机对FPGA的直接配置方式是________方式。
3.目前常用的可编程逻辑器件以CPLD和FPGA为主,其中________是基于查找表结构的可编程逻辑器件。
4.一个VHDL设计实体最基本的结构包括________和__________;VHDL程序中的注释用______表示。
5. VHDL程序中时钟CLK的下降沿表示为________________________________。
6.VHDL程序的元件例化语句中,元件端口名与实例连接端口名的关联方式有__________和__________两种方式。
7.在VHDL语法规则中,变量是一个局部量,只能在______和________中使用;变量______(能/不能)将信息带出对它做出定义的当前结构。
8.进程既可以通过______信号的变化来启动,也可以由满足条件的______语句来激活。
9.信号a1的定义为SIGNAL a1 : STD_LOGIC_VECTOR( 4 DOWNTO 0 ),则执行语句a1 <= ( 1=>’1’, 3=>’1’, OTHERS=>’0’)后,a1的值为________。
10.编程下载过程中引脚锁定的目的是_________________________________________________________________________________________________。
二、选择题(本大题共10小题,每题2分,共20分)1.现场可编程门阵列的英文简称是______。
EDA期末考试试题
第一部分:填空题1.一般把EDA技术的发展分为CAD、CAE和EDA三个阶段,并向着ESDA方向发展。
2.EDA技术在应用设计领域主要包含哪四个方面的内容(1)HDL (2)PLD(3)EDA工具软件(4)EDA开发系统。
3.EDA技术的基本特征(1)自顶向下的设计方法;(2)采用硬件描述语言;(3)高层综合和优化;(4)并行工程;(5)开放性和标准化。
4.当前最流行的并成为IEEE标准的硬件描语言是V HDL和Verilog-HDL。
5.什么是PLD?答: PLD,Programmable-Logic-Device,即可编程逻辑器件。
是一种具有内建结构、由用户编程以实现某种逻辑功能的新型逻辑器件。
6.SPLD的基本结构框图是什么?7.一般CPLD器件至少包含可编程逻辑宏单元,可编程I/O单元和可编程内部连线3种基本结构。
一般FPGA器件至少包含可编程逻辑功能块/CLB、IOB/可编程I/O块和PI/可编程内部互连三类可编程资源。
8.用PROM完成半加器/全加器的示意图。
9.使用方框图示意出采用硬件描述语言设计硬件电路进行由上而下的设计的三个层次为:10.可编程逻辑器件的发展趋势在哪5个方面(1)向更大规模、更高集成度的片上系统方向发展(2)向低电压、低功耗的绿色器件方向发展(3)向更高速可预测延时的方向发展(4)向在PLD内嵌入多种功能模块的方向发展(5)向模数混合可编程的方向发展11.目前,在PLD器件制造与生产领域的三大公司为Altera、Xilinx和Lattice 12.FPGA的发明者是Xilinx公司;ISP编程技术的发明者是Lattice公司。
13、目前常见的可编程逻辑器件的编程和配置工艺包括基于E2PROM/Flash技术、基于SRAM查找表的编程单元和基于反熔丝编程单元。
14、基于EPROM、E2PROM和快闪存储(flash)器件的可编程器件,在系统断电后编程信息不丢失15、采用SRAM结构的的可编程器件,在系统断电后编程信息丢失16、V erilog-HDL于1983年推出,是在C语言的基础上演化而来的。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
班级 学号 姓名密 封 线 内 不 得 答 题一、单项选择题(30分) 1.以下描述错误的是 CA .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境B .Altera 是世界上最大的可编程逻辑器件供应商之一C .MAX+plusII 是Altera 前一代FPGA/CPLD 集成开发环境QuartusII 的更新换代新产品D .QuartusII 完全支持VHDL 、Verilog 的设计流程2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 BA .ModelSimB .Leonardo SpectrumC .Active HDLD .QuartusII 3.以下器件中属于Xilinx 公司生产的是 CA .ispLSI 系列器件B .MAX 系列器件C .XC9500系列器件D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 BA .信号是描述硬件系统的基本数据对象,它的性质类似于连接线B .信号的定义范围是结构体、进程C .除了没有方向说明以外,信号与实体的端口概念是一致的D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 BA .Moore 型状态机其输出是当前状态和所有输入的函数B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期C .Mealy 型状态机其输出是当前状态的函数D .以上都不对6.下列标识符中, B 是不合法的标识符。
A .PP0B .ENDC .Not_AckD .sig7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。
A .CPLD 即是现场可编程逻辑器件的英文简称B .CPLD 是基于查找表结构的可编程逻辑器件C .早期的CPLD 是从GAL 的结构扩展而来D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。
A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)9.嵌套使用IF 语句,其综合结果可实现 A 。
A .带优先级且条件相与的逻辑电路B .条件相或的逻辑电路C .三态控制电路D .双向控制电路10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。
A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) thenC .if clk’event and clk = ‘0’ thenD .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。
A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动B .敏感信号参数表中,应列出进程中使用的所有输入信号C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CASE 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。
A .器件外部特性B .器件的综合约束C .器件外部特性与内部功能D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化5.ROM :只读存储器 三、程序填空题(20分)以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整班级 学号 姓名密 封 线 内 不 得 答 题请回答问题:在程序中存在两处错误,试指出并修改正确(如果是缺少语句请指出应该插入的行号) 答:(1)第9行有误,SIGNAL Q1 : RANGE 0 TO 9数据类型有误,应该改成SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0)(2)第11行有误,敏感信号列表中不能出现输出端口,应该改成PROCESS (clk)班级 学号 姓名密 封 线 内 不 得 答 题五、(28分)1.试用VHDL 描述一个外部特性如图所示的D 触发器。
(10分) 参考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY mydff ISPORT(CLK:IN STD_LOGIC; D:IN STD_LOGIC; Q:OUT STD_LOGIC); END;ARCHITECTURE bhv OF mydff IS BEGINPROCESS(CLK) BEGINIF CLK'EVENT AND CLK='1' THEN Q<=D; END IF;END PROCESS; END;2.下图为某一状态机对应的状态图,试用VHDL 语言描述这一状态机。
(18分)S0S1S3S21/10011/11110/11000/0000其它/0000其它/1111其它/1100其它/1001参考程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY FSM2 ISPORT ( clk,reset,in1 : IN STD_LOGIC;out1 : OUT STD_LOGIC_VECTOR(3 downto 0)); END;ARCHITECTURE bhv OF FSM2 ISTYPE state_type IS (s0, s1, s2, s3);SIGNALcurrent_ state,next_state: state_type; BEGINP1:PROCESS(clk,reset) BEGINIF reset = ‘1’ THEN current_state <= s0; ELSIF clk='1' AND clk'EVENT THENcurrent_state <=next_state; END IF;END PROCESS;P2:PROCESS(current_state) BEGINcase current_state isWHEN s0 => IF in1=‘1’THEN next_state<=s1; ELSE next_state<=s0; END IF;WHEN s1 => IF in1='0'THEN next_state<=S2; ELSE next_state<=s1; END IF;WHEN s2 => IF in1='1'THEN next_state<=S3; ELSE next_state<=s2; END IF;WHEN s3 => IF in1='0'THEN next_state<=S0;ELSE next_state<=s3; END IF; end case;END PROCESS;p3:PROCESS(current_state) BEGINcase current_state isWHEN s0 => IF in1=‘1’THEN out1<=“1001”; ELSE out1<="0000"; END IF; WHEN s1 => IF in1='0'THEN out1<="1100"; ELSE out1<="1001"; END IF; WHEN s2 => IF in1='1'THEN out1<="1111"; ELSE out1<="1001"; END IF; WHEN s3 => IF in1='1'THEN out1<="0000"; ELSE out1<="1111"; END IF; end case; END PROCESS;班级 学号 姓名密 封 线 内 不 得 答 题end bhv;小学二(2)班班规一、 安全方面1、 每天课间不能追逐打闹。
2、 中午和下午放学要结伴回家。
3、 公路上走路要沿右边走,过马路要注意交通安全。
4、 不能在上学路上玩耍、逗留。
二、学习方面1、每天到校后,不允许在走廊玩耍打闹,要进教室读书。
2、每节课铃声一响,要快速坐好,安静地等老师来上课。
3、课堂上不做小动作,不与同桌说悄悄话, 认真思考,积极回答问题。
4、养成学前预习、学后复习的好习惯。
每天按时完成作业,保证字迹工整,卷面整洁。
5、考试时做到认真审题,不交头接耳,不抄袭,独立完成答卷。
三、升旗排队和两操方面1、升旗时,要快速出教室排好队,做到快、静、齐,安静整齐地排队走出课室门,班长负责监督。
2、上午第二节后,快速坐好,按要求做好眼保健操。
3、下午预备铃声一响,在座位上做眼保健操。
四、卫生方面1、每组值日生早晨7:35到校做值日。
2、要求各负其责,打扫要迅速彻底,打扫完毕劳动工具要摆放整齐。