数字秒表电路设计
数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)
电子科技大学UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA数字逻辑设计实验报告实验题目:电子秒表学生姓名:指导老师:一、实验内容利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。
二、实验要求1、实现计时功能:域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。
2、两键控制与三次记录:1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。
系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。
其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。
三、设计思路1、整体设计思路先对按键进行去抖操作,以正确的得到按键信息。
同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。
因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。
在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。
2、分频设计该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。
分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。
这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。
数电课程设计:电子秒表
《数字电子技术基础》课程设计报告题目:电子秒表专业:自动化班级:自动化姓名:指导教师:成绩:2015年8月25日课程设计任务书学生班级:自动化学生姓名:学号:设计名称:电子秒表起止日期:2015.8.22——2015.9.05 指导教师:摘要秒表应用于我们生活、工作、运动等需要精确计时的方面。
它由刚开始的机械式秒表发展到今天所常用的数字式秒表。
秒表的计时精度越来越高,功能越来越多,构造也日益复杂。
本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100Hz;计时最长时间为10min,6位显示器,显示时间最长为9m59.99s;系统设置启/停键和复位键。
复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。
针对上述设计要求,我们先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。
其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。
关键字:555定时器十进制计数器多谐振荡器目录第一章方案设计与论证 .................................................. - 5 - 第二章单元电路设计与参数计算 .......................................... - 5 -2.1 时钟脉冲发生和控制信号- 5 -2.2 启动与停止电路- 6 -2.3 清零电路设计- 7 -第三章总电路工作原理及元器件清单 ...................................... - 7 -3.1 电路完整工作过程描述(总体工作原理)- 7 -3.2 总原理图:(见下图3-1)- 7 -第四章主要芯片介绍- 9 -4.1 74LS00- 9 -4.1 74LS160- 9 -第五章仿真............................................................. - 9 - 自我评价- 13 -插图清单图1-1 方案设计图 (5)图2-1555定时器构成的多谐振荡器 (6)图2-2启动与停止电路 (6)图2-3清零电路 (7)图3-1总原理图 (8)图5-1电子秒表仿真结果图1 (10)图5-2电子秒表仿真结果图2 (11)第一章方案设计与论证总体分析:图1-1 方案设计图如图1-1所示,该电路需要4个十进制的加计数器,一个555定时器组成的多谐振荡器,RS触发器启动停止电路。
数字式秒表设计
1 设计目的1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。
2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。
3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。
4、培养学生的创新能力。
2 设计要求1.秒表由2位七段LED显示器显示,其中1位显示“s”,二位显示“0.1s”,显示分辩率为0.1 s;2.计时最大值为9.9s;3.计时误差不得超过1s;具有清零、启动计时、暂停计时及继续计时等控制功能;4.主要单元电路和元器件参数计算、选择;5.画出总体电路图;6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。
焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。
7.调试电路8.电路性能指标测试9.提交格式上符合要求,内容完整的设计报告3总体设计3.1 工作流程图图 1 工作流程图图1中1单元为用集成与非门74LS00构成的基本RS 触发器。
属低电平直接触发的触发器,有直接置位,复位的功能。
图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。
图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。
图1中4单元74LS160构成的计数器/分频器图1中5单元译码显示单元3.2 原理图:图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。
其中单元1为基本RS 触发器; 单元2为单稳态触发器; 单元3为时钟发生器; 单元4为计数器和分频器; 单元5为译码显示单元。
基本RS 触发器单稳态触发器译码显示器555定时器计数/分频器图2 原理电路图本电路中使用两个基本RS触发器提供置位、复位、和清零信号,555定时器提供时钟脉冲信号,三块74LS160作为译码/分频单元,有效、简洁地完成了秒表所具备的所有功能(清零、启动计时、暂停计时及继续计时)。
单片机课程设计_基于单片机的数字秒表设计
单片机课程设计_基于单片机的数字秒表设计在当今科技迅速发展的时代,电子设备的应用无处不在,其中数字秒表作为一种常见的计时工具,具有广泛的应用场景,如体育比赛、科学实验、工业生产等。
本次课程设计旨在基于单片机技术实现一个数字秒表,通过对硬件电路的设计和软件程序的编写,掌握单片机系统的开发流程和方法,提高实践动手能力和解决问题的能力。
一、设计要求1、能够实现秒表的启动、暂停、复位功能。
2、计时精度达到 001 秒。
3、能够通过数码管显示计时结果。
二、系统方案设计1、硬件设计单片机选型:选用常见的 STC89C52 单片机作为核心控制器,其具有性能稳定、价格低廉、易于编程等优点。
显示模块:采用 8 位共阴极数码管作为显示器件,通过动态扫描的方式实现数字的显示。
按键模块:设置三个独立按键,分别用于启动、暂停和复位操作。
时钟模块:使用单片机内部的定时器/计数器产生精确的时钟信号,实现计时功能。
2、软件设计主程序:负责系统的初始化、按键扫描和计时处理等。
中断服务程序:利用定时器中断实现 001 秒的定时,更新计时数据。
三、硬件电路设计1、单片机最小系统包括单片机芯片、晶振电路和复位电路。
晶振频率选择 12MHz,为单片机提供时钟信号。
复位电路采用上电复位和手动复位相结合的方式,确保系统能够可靠复位。
2、显示电路将 8 位数码管的段选引脚通过限流电阻连接到单片机的 P0 口,位选引脚通过三极管连接到单片机的 P2 口。
通过动态扫描的方式,依次点亮每个数码管,实现数字的显示。
3、按键电路三个按键分别连接到单片机的 P10、P11 和 P12 引脚,采用低电平有效。
当按键按下时,相应引脚的电平被拉低,单片机通过检测引脚电平的变化来判断按键的操作。
四、软件程序设计1、主程序流程系统初始化后,进入主循环。
在主循环中,不断扫描按键状态,如果检测到启动按键按下,则启动计时;如果检测到暂停按键按下,则暂停计时;如果检测到复位按键按下,则将计时数据清零。
电子秒表设计VHDL
一、设计题目:基于VHDL语言的电子秒表设计(可调时,有闹钟、定时功能)二、设计目的:⑴掌握较复杂的逻辑设计和调试⑵学习用原理图+VHDL语言设计逻辑电路⑶学习数字电路模块层次设计⑷掌握QuartusII软件及Modelsim软件的使用方法三、设计内容:(一)设计要求1、具有以二十四小时计时、显示、整点报时、时间设置和闹钟的功能。
2、设计精度要求为1S。
(二).系统功能描述1 . 系统输入:系统状态及校时、定时转换的控制信号为k、set、ds;时钟信号clk,采用实验箱的50MHz;系统复位信号为reset。
输入信号均由按键产生。
系统输出:8位LED七段数码管显示输出,蜂鸣器声音信号输出。
多功能数字钟系统功能的具体描述如下:2. 计时:set=1,ds=1工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。
3. 校时:在set=0,ds=0状态下,按下“k键”,进入“小时”校准状态,之后按下“k键”则进入“分”校准状态,继续按下“k键”则进入“秒校准”状态,之后如此循环。
1)“小时”校准状态:在“小时”校准状态下,显示“小时”数码管以1Hz的频率递增计数。
2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以1Hz的频率递增计数。
3)“秒”复零状态:在“秒复零”状态下,显示“分”的数码管以1Hz的频率递增计数。
4. 整点报时:蜂鸣器在“59”分钟的第50—59,以1秒为间隔分别发出1000Hz,500Hz的声音。
5. 显示:采用扫描显示方式驱动8个LED数码管显示小时、分、秒。
闹钟:闹钟定时时间到,蜂鸣器发出交替周期为1s的1000Hz、500Hz的声音,持续时间为一分钟;6. 闹钟定时设置:在set=0,ds=1状态下,按下“k”,进入闹钟的“时”设置状态,之后按下“k键”进入闹钟的“分”设置状态,继续按下“k 键”则进入“秒”设置状态, 之后如此循环。
1)闹钟“小时”设置状态:在闹钟“小时”设置状态下,显示“小时”的数码管以1Hz 的频率递增计数。
数字秒表设计报告
摘要要求设计一个计数范围在0.0-9.9秒的数字秒表,精确度为0.1秒。
电路设计基本包括0.1秒脉冲发生器、信号控制端、整形电路、计数电路、译码电路和显示器这几部分构成。
0.1秒脉冲发生器由555定时器构成的多谐振荡电路实现,信号控制端由D触发器实现,即74LS74N,能够对整个电路进行清零、计数、停止和复位的作用。
计数器由两个十进制BCD 码74LS160级联而成。
在计数器的四个输出端分别接译码器的四个置数端,译码器由74LS48实现。
这个电路设有两个开关s1,s2,来实现对电路的清零、计数、暂停、复位的控制。
这样,一个简易的数字秒表便设计完成了。
关键字:555定时器、D触发器、编码、译码ABSTRACTDesign a digital stopwatch counting range in 0.0-9.9 seconds, accuracy of 0.1 seconds. Basic including 0.1 second pulse generator circuit design, signal control terminal, shaping circuit, counting circuit, decoding circuit and a display of this a few parts. More than 0.1 second pulse generator composed of 555 timer harmonic oscillation circuit implementation, signal control comprised D flip-flop, namely 74LS74N, can be reset to the whole circuit, counting, stop and reset. Two decimal counter by BCD 74LS160 cascade. In the four output end of the counter four load respectively at the decoder side, decoder by 74LS48 implementation. This circuit is equipped with two switch S1, S2, to implement to reset circuit, counting, suspend, and reset the control. So will design a simple digital stopwatch is complete.Key Word:555 timer, D flip-flop, encoding and decoding目录摘要------------------------------------------------------------------------1 1.设计目的及要求------------------------------------------------------31.1设计目的-----------------------------------------------------------31.2设计要求-----------------------------------------------------------32.设计原理及分析------------------------------------------------------42.1设计构想框图-------------------------------------------------------42.2设计原理分析-------------------------------------------------------42.2.1多谐振荡电路------------------------------------------------42.2.2开关控制端与D触发器----------------------------------------52.2.3与非门电路--------------------------------------------------52.2.4显示译码电路------------------------------------------------53.制作过程--------------------------------------------------------------73.1布局连线-----------------------------------------------------------73.2调试---------------------------------------------------------------73.3遇到问题及解决方法-------------------------------------------------84.心得感悟--------------------------------------------------------------8参考文献------------------------------------------------------------------9附录附录一元器件清单------------------------------------------------------10 附录二电路图----------------------------------------------------------101.设计目的及要求1.1设计目的通过对数字秒表的设计,熟练掌握555定时器脉冲信号产生的原理和D触发器的功能及原理,利用所学的电子技术基础(模拟部分)知识,回顾脉冲信号产生、计数、编码、译码的原理机制,进行对生活中不可或缺的秒表的设计。
14年春数字电路课程设计任务书
重庆大学城市科技学院电气学院数字电路课程设计任务书课题:数字秒表的电路设计一、设计目的1.掌握数字秒表的设计、组装与调试方法。
2.熟悉集成电路的使用方法。
二、设计任务与要求1.设计任务设计一个能以两位数显示的数字秒表。
2.设计要求基本要求:(1) 两位数码显示功能,能够从“0”到“59”依次显示,显示到“56”时,蜂鸣器持续发出5秒的报警。
(2) 具有手控记秒、停摆和清零功能。
发挥部分:自动报警时,在56秒时,自动发出鸣响声,步长1s,每隔1s鸣叫一次,前两响是低音,最后一响结束为下一个循环开始。
3.设计步骤(1)根据课题,查阅相关资料。
(2)根据提供的元器件,画出系统原理框图,确定基本电路。
(3)用Multism进行仿真验证,修改。
(4)用万能电路板焊接电路,并调试。
(5)撰写课程设计报告。
三、提供的器材清单四、总结报告1.总结数字秒表电路的整体设计、安装与调试过程。
要求有电路图、原理说明、电路所需元件清单、电路参数计算、元件选择、测试结果分析。
2.分析安装与调试中发现的问题及故障排除的方法。
3.设计心得体会。
五、安装要求1、无件安装:电阻平装,二极管紧贴板,三极管根部距板0.5CM。
2、焊点:圆润光滑、无毛刺,无虚焊、假焊、错焊。
3、布线:做到“横平竖直”,无交叉,布线清爽美观。
4、连线细心,耐心,不连错,不漏连,照图反复检查。
附件1:课程设计报告格式课题:专业:班级:学号:姓名:指导教师:设计日期:成绩:重庆大学城市科技学院电气学院×××设计报告(二号黑体)一、设计目的作用(三号宋体,加粗)××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××××(小四号宋体)二、设计要求(三号宋体,加粗)说明:指所设计题目的具体要求××××××××××××××××××××××××××××××××××。
EDA课程设计--数字秒表设计
电子课程设计—数字秒表的设计数字秒表的设计数字秒表的设计一、设计任务与要求1、数字秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。
秒。
2 2、数字秒表的计时精度是、数字秒表的计时精度是10ms 10ms。
3 3、、复位开关可以在任何情况下使用,复位开关可以在任何情况下使用,即便在计时过程中,即便在计时过程中,即便在计时过程中,只要按一下复位只要按一下复位开关,计时器就清零,并做好下次计时的准备。
开关,计时器就清零,并做好下次计时的准备。
4 4、、具有启具有启//停开关,停开关,即按一下启即按一下启即按一下启//停开关,停开关,启动计时器开始计时,启动计时器开始计时,启动计时器开始计时,再按一下再按一下启/停开关则停止计时。
停开关则停止计时。
二、总体框图由频率信号输出端输出频率为100HZ 的时钟信号,输入到微妙模块的时钟端clk ,高/低电平电平频率信号输入输入微妙模块微妙模块秒模块秒模块分模块分模块置数/位选位选显示模块显示模块进位进位微妙模块为100进制的计数器,产生的进位信号co 输入到下一级秒模块的时钟端,以此类推,直到分模块计数到59进60时,产生的进位信号不输出,计数清零。
将微妙、秒、分产生的计数通过置数/位选再通过显示模块实时显示。
设计方案:利用一块芯片完成除时钟源,利用一块芯片完成除时钟源,按键和显示器之外的所有数字电路功按键和显示器之外的所有数字电路功能。
所有数字逻辑功能都在CPLD 器件上用VHDL 语言实现。
这样设计具有体积小,设计周期短,调试方便,故障率地和修改升级容易等特点,本设计采用自顶向下,混合输入方式(原理图输入——顶层文件链接和VHDL 语言输入——各模块程序设计)实现数字秒表的设计,下载和调试。
三、功能模块1.1. 微秒模块微秒模块采用VHDL 语言输入方式,以时钟clk clk,清零信号,清零信号clr 以及暂停信号STOP 为进程敏感变量,程序如下:为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity MINSECONDb isport(clk,clrm,stop:in std_logic;----时钟时钟//清零信号清零信号secm1,secm0:out std_logic_vector(3 downto 0);----秒高位秒高位//低位co:out std_logic);------- co:out std_logic);-------输出输出输出//进位信号进位信号 end MINSECONDb;architecture SEC of MINSECONDb is signal clk1,DOUT2:std_logic;beginprocess(clk,clrm)variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数计数 VARIABLE COUNT2 :INTEGER RANGE 0 TO 10 beginIF CLK'EVENT AND CLK='1'THENIF COUNT2>=0 AND COUNT2<10 THEN COUNT2:=COUNT2+1; ELSE COUNT2:=0;DOUT2<= NOT DOUT2; END IF; END IF;if clrm='1' then----if clrm='1' then----当当clr 为1时,高低位均为0cnt1:="0000"; cnt0:="0000";elsif clk'event and clk='1' then if stop='1' then cnt0:=cnt0; cnt1:=cnt1; end if;if cnt1="1001" and cnt0="1000" ;then----then----当记数为当记数为9898(实际是经过(实际是经过59个记时脉冲)个记时脉冲) co<='1';----co<='1';----进位进位进位cnt0:="1001";----cnt0:="1001";----低位为低位为9elsif cnt0<"1001" then----elsif cnt0<"1001" then----小于小于9时 cnt0:=cnt0+1;----cnt0:=cnt0+1;----计数计数计数 --elsif cnt0="1001" then --clk1<=not clk1;elsecnt0:="0000";if cnt1<"1001" then----if cnt1<"1001" then----高位小于高位小于9时 cnt1:=cnt1+1; elsecnt1:="0000"; co<='0'; end if; end if; end if;secm1<=cnt1; secm0<=cnt0;end process; end SEC;程序生成器件如图:clk clrm stopsecm1[3..0]secm0[3..0]coMINSECONDbinst3微妙模块生成的器件可以实现带有100进制进位和清零功能,暂停等功能,MINSECONDb 输入为100HZ 脉冲和低电平的清零信号CLR 与暂停信号STOP STOP,输出,输出微妙个位、十位及进位信号CO CO。
数字电路课程设计数字秒表
2.5冲不可以通过与门,计数电路就会停止,实现暂停 功能,当S1闭合时,恢复计数;当S1闭合,S2断开时,R0(1) R0(2)都 接低电平,实现计数功能。当S1闭合,S2闭合时,R0(1) R0(2)都接高 电平,实现清零功能。将S1和S2想与是为了实现当电路处于暂停状态时 不能使用清零功能。
2. 设计方案
2.1实验设计原理:
汽车尾灯控制器由时钟发生电路、分频电路、译码电路、开关驱动 电路及终端显示电路五部分组成。本实验设计时钟脉冲源采用电路板上 的1000HZ脉冲,74ls90具有分频功能, 分频电路由三片74ls90芯片组成,最终分频为1HZ。74ls738芯片及四个 与门组成译码电路, 74ls138芯片A0、A2输入端接入输入脉冲,A2端接低电平输出端, Y0、 Y1 、Y4、Y5分别与四个与门与LED灯相接。开关驱动电路由与门、或 门、非门、与非门等门电路连接而成。
11 0 X 11 X 0 X X 11
X 0 X0 0 X 0X 0 X X0 X 0 0X
Q3 Q2 Q1 Q0
0 0 00 0 0 00 1 0 01
计数
表2 当R0(1) R0(2)都接高电平时,实现清零功能。当R0(1) R0(2)都接低 电平时,实现计数功能。故将4个十进制计数器的R0(1) R0(2)相连, 由开关S2控制,实现计数和清零功能。
(3)夜间行车电路控制图
逻辑开关S3接高电位、S4接低电位时
D5、D6灯同时闪烁,表示夜间停车
逻辑开关S3、S4同时接高电位时D5、D6 灯长亮,表示夜间行车。
3. 工作总结及心得体会
实验中电路接线仍然是一个很麻烦的过程,由于这个实验门电路较多,所 以一不小心就会接错线路,或者忘记接了哪个端口。理论上成熟之后,实际操 作是一个很重要的过程。
电子秒表的设计与制作
存储器
选择适当的存储器,用于存储 计时数据和配置信息。
电源
选择适当的电源,为整个系统 提供稳定的电力供应。
设计电路板
01
02
03
绘制电路图
使用电路设计软件绘制电 路图,将各个硬件元件连 接起来。
制板
将电路图转换为实际电路 板,可以选择使用PCB板 或洞洞板等材料。
元件布局
合理安排各个元件的位置, 确保它们之间的连接可靠、 简洁。
01
制作一个简单、可靠的电子秒表,能够精确测量时 间间隔。
02
学习并掌握电子秒表的基本原理和电路设计。
03
通过实践,提高动手能力和解决问题的能力。
02
硬件设计
选择合适的硬件
微控制器
选择一个具有足够I/O口和内存 的微控制器,用于处理计时和 控制任务。
传感器
选择合适的传感器,如光电传 感器或霍尔传感器,用于检测 计时开始和结束信号。
计时精度
确保计时器具有足够的精 度,以满足不同需求。
计时记录
保存和显示计时器的历史 记录,方便用户查看和分 析。
04
制作过程Biblioteka 组装硬件元件采购根据元件清单,购买所需的电 子元件。
元件布局
根据设计图纸,合理安排元件 在电路板上的位置。
确定所需元件
根据设计需求,列出所需的电 子元件,如按钮、LED灯、微 控制器等。
JavaScript
JavaScript主要用于Web开发,具有广泛的浏览器支持。
设计软件界面
简洁明了
界面应清晰简洁,易于使用,避免不必要的复杂 性。
用户友好
提供易于操作的按钮和功能,使用户能够轻松控 制计时器。
可定制化
电子技术课程设计---秒表数码显示电路数字秒表电路设计
电子技术课程设计---秒表数码显示电路数字秒表电路设计一,课题名称秒表数码显示电路数字秒表电路设计二,设计要求1. 设计1MHz时钟:2.完成0~59小时59分59秒范围内的计时:3.通过按键设置计时起点与终点,计时精度为10ms:4. 计时暂停、恢复和清零功能;5. 计时时间报警功能;三,比较和选定设计的系统方案,画出系统框图1.1,课题分析与方案确定本题要求计数至59时59分59秒,所以要用到六个数码管。
计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。
暂停功能和清零功能通过开关进行控制。
最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。
1.2,总体设计方案及系统框图数字式秒表,必须有数字显示。
按设计要求,必须用数码管来做显示器。
题目要求59时59分59秒,则需要六个数码管。
要求计数分辨率为0.01秒,则需要相应频率的信号发生器。
总体上,采用六个74LS160计数器。
使用同步预置法,实现59时59分59秒的计时。
将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。
四,单元电路设计、参数计算和器件选择1.1,各个模块单元设计74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。
功能表:图20引脚图:图21逻辑符号及其引脚功能图:图2274ls160中的ls代表为低功耗肖特基型芯片。
74160为标准型芯片。
结构功能一样。
2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。
电子秒表设计实验报告
淮阴工学院《数字电子技术》课程实验期末考核2014-2015学年第2学期实验名称:电子秒表电路的设计班级:学号:姓名:学院:电子与电气工程学院专业:自动化系别:自动化指导教师:《数字电子技术》实验指导教师组成绩:2015年07月电子秒表电路的设计一、实验目的1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。
2 .学习电子秒表的调试方法。
二、实验原理图11 -1 为电子秒表的电原理图。
按功能分成四个单元电路进行分析。
1.基本RS 触发器图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。
属低电平直接触发的触发器,有直接置位、复位的功能。
它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。
按动按钮开关K2(接地),则门1 输出=1 ;门2 输出Q =0 ,K2复位后Q 、状态保持不变。
再按动按钮开关K1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。
由1 变0 ,送出负脉冲,启动单稳态触发器工作。
基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。
2. 时钟发生器图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的时钟源。
调节电位器R W,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于计数器①的计数输入端CP2。
图11-2 单稳态触发器波形图图11-3 74LS90引脚排列3.计数及译码显示二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。
其中计数器①接成五进制形式,对频率为50HZ 的时钟脉冲进行五分频,在输出端Q D取得周期为0.1S 的矩形脉冲,作为计数器②的时钟输入。
计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。
数字秒表设计(4位)
目录1 数字秒表方案设计与论证 (2)2 数字秒表总体设计 (2)3 电子秒表的工作原理 (4)3.1脉冲源电路 (4)3.2分频器电路 (4)3.3时间计数单元 (5)3.4码驱动及显示单元 (8)3.5元件列表 (9)4 调试 (10)5 电路测试及测试结果 (10)6 心得体会 (12)7 参考文献 (13)1 数字秒表方案设计与论证电子秒表的工作原理就是不断输出连续脉冲给加法计数器,而加法计数器通过译码器来显示它所记忆的脉冲周期个数。
1时钟发生器:利用石英震荡555定时器构成的多谐振荡器做时钟源,产生脉冲;2记数器:对时钟信号进行记数并进位,毫秒和秒之间10进制,秒和分之间60进制; 本设计采用二—五—十进制加法计数器74LS90构成电子秒表的计数单元,3译码器:对脉冲记数进行译码输出到显示单元中;4显示器:采用4片LED显示器把各位的数值显示出来,是秒表最终的输出,有分、秒、和毫秒位;74LS48是BCD码到七段码的显示译码器5控制器:控制电路是对秒表的工作状态(记时开始/暂停)进行控制的单元。
属低电平直接触发的触发器,有直接置位、复位的功能。
2数字秒表总体设计图 1 工作流程图:图图2 原理电路图3电子秒表的工作原理3.1脉冲源电路用555 实现多谐振荡,需要外接电阻R1,R2和电容C。
电路图如下:(a)(b)图3 构成多谐振荡器电路图4 555引脚图3.2分频器电路通常,数字钟的晶体振荡器输出频率较高,为了得到0.1Hz的秒信号输入,需要对振荡器的输出信号进行分频。
须设计一个五进制计数器,对频率为50HZ 的时钟脉冲进行五分频,在输出端QD 取得周期为0.1S 的矩形脉冲,作为时间计数单元的时钟输入。
用集成异步计数器74LS90 实现,电路图如下:(a) (b)图5 74ls90引脚图及构成五进制计数器3.3时间计数单元记数器74160、74ls192、74ls90等都能实现十进制记数,本设计采用二—五—十进制加法计数器74LS90构成电子秒表的计数单元,如图三所示,555定时器构成的多谐振荡器作为计数器1的时钟输入。
电子秒表74160
电子秒表一、设计任务与要求1、设计部分由0.1s位、s个位、s十位和min个位四个计数器组成。
技术范围:0-10min,精度为0.1s。
2、秒表具有清零、计时、暂停三种工作状态。
用两个按键控制,按键1第一次按下时计时开始,第二次按下时清零;按键二第一次按下时暂停,第二次按下时继续计时。
3、脉冲源可通过555多谐振荡器提供。
4、每隔30s蜂鸣器响一声(每次响1s)。
二、总体框图1、结构框图总体框图,如图2-1。
图2-1 总体框图2、设计方案(1)十进制、六十进制电路方案一:可用74LS160、161、162、163实现计数功能,只能实现加法;方案二:用74LS190、191、192、193实现计数功能,可以加可以减,可以 进位、可以借位。
电子秒表只需要实现加法,用不到减法和借位,所以此处选择方案一中的74LS160计数器。
(2)暂停功能电路方案一:用SR 触发器,SR 触发器属低电平直接触发的触发器,有直接置位,复位的功能。
可使其在停止后能够依然保留数字而不马上归零;方案二:用D 触发器,D 触发器具有保持功能,不会因为前后的变化而改变,因此可以通过它实现“单开关保持清零功能”。
SR 触发器自己比较熟悉,所以选择方案一用SR 触发器实现暂停功能。
(3)脉冲源通过555定时器改装的多谐振荡器发出的脉冲频率要更准确,所以用555多谐振荡器设计一个10HZ 电路,即精度为0.1s 。
(4)蜂鸣器部分 通过组合逻辑电路实现。
三、选择器件1、数码管数码管是一种由发光二极管组成的断码型显示器件,如图3-1。
图3-1 数码管管脚图数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出不同的字形。
数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个LED 的阴极连在一起,让其接地,这样给任何一个LED 的另一端高电平,它便能点亮。
而共阳极就是将八个LED 的阳极连在一起。
2、555定时器555 定时器是一种模拟和数字功能相结合的中规模集成器件。
数字电路实验四:电子秒表
2、电子秒表的整体测试
各单元电路测试正常后,按总图把几个单 元电路连接起来,进行电子秒表的总体测试。
要求计时至少2分钟,利用参考时间源测试 其误差。
分析电子秒表误差原因。
4、自主练习 74ls90及74ls92各种进制级联。 例:2——10进制级联;
10——2进制级联; 上次布置的思考题
········
经过5分频,产生频率10HZ,周期0.1 S的计数时钟信号
T TW1 TW 2 TW1 0.7R1 R 2 C TW 2 0.7R2C
实验原理
现代电子技术实验
50HZ
5分频
10HZ
五、总电路图
现代电子技术实验
现代电子技术实验
六、实验内容
1、脉冲源的调测
现代电子技术实验
用示波器观察输出电压波形并测量其频率,调节 RW,使输出矩形波频率为50Hz,画出其波形。
产生清零信号单稳态电路来自实验原理 2、停止电路
Q=0
Q=1
现代电子技术实验
时钟信号
C
与
非 门 计数脉冲
若停止键按下, Q将变为0。
实验原理
停止电路
Q=01
Q=01
现代电子技术实验
时钟信号
C
与
非 门 无计数脉冲
停止键按下, Q=C变为0。
实验原理
现代电子技术实验
3、脉冲源电路
产生频率为50HZ,周期0.02 S的信号
2 、按下启动键开始清零计时,按 下停止键,停止。
三、实验框图
电子秒表的组成框图
现代电子技术实验
四、实验原理
Q=1
Q=0
现代电子技术实验
1、启动电路
R-S触发器
【精品完整版】电子科技大学现代电子综合实验报告数字秒表设计
【精品完整版】电⼦科技⼤学现代电⼦综合实验报告数字秒表设计现代电⼦综合实验报告项⽬名称数字秒表设计学⽣姓名学号指导⽼师⽬录【摘要】 (1)关键词 (1)引⾔ (1)第1章背景知识介绍 (2)1.1 FPGA (2)1.2 EDA (2)1.3 VHDL语⾔ (2)1.4 ModelSim软件 (3)1.5 ISE软件 (3)1.6 时间简介 (3)第2章系统设计 (4)2.1设计要求 (4)2.2 设计分析 (4)2.2.1 输⼊输出分析 (4)2.2.2 系统设计原理 (4)2.2.3 系统内部模块分析 (5)第3章模块电路的实现与仿真 (6)3.1 按键消抖 (6)3.2 分频器 (7)3.3 控制器 (8)3.4 计数器 (10)3.5 锁存器 (11)3.6 显⽰模块 (12)第4章实验设计 (14)4.1 顶层设计 (14)4.2 管脚设置 (15)第5章实验结论 (15)第6章结果分析 (15)参考⽂献: (16)附录: (16)1.分频模块源程序 (16)2.消抖模块源程序 (17)3.控制模块源程序 (18)4.计数器模块源程序 (20)(1)计数器顶层⽂件程序 (20)(2)10进制仿真程序源程序 (22)(3)6进制仿真程序源程序 (23)5.锁存器模块源程序 (24)6.显⽰模块源程序 (25)(1)显⽰模块顶层⽂件源程序 (25)(2)扫描模块源程序 (27)(3)数据选择模块源程序 (27)(4)译码器模块源程序 (28)7.顶层⽂件源程序 (29)8.管脚分配源程序 (32)【摘要】利⽤VHDL硬件设计语⾔基于FPGA实验板设计⼀个数字表秒。
该数字秒表及时范围是00’00”00 ~ 59’59”99,并利⽤两个按钮开关Start/Stop 和Split/Reset来控制秒表的启动、暂停、继续、停⽌、复位。
根据该要求,将该实验设计分为6个模块,分别为:分频模块、按键消抖模块、计数器模块和按键控制模块、锁存模块和显⽰模块。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
提交内容:课程设计说明书(原理设计、PCB制作过程要在设计说明书详细说明)。
基本要求:设计的原理图满足任务书的设计要求。
6.工作计划及进度:
2010年1月7日~9日查阅各种资料,熟悉任务要求,理解设计原理
2010年1月10日~11日方案设计
2010年1月12日~15日硬件电路原理图及PCB图整理,设计说明书
中北大学
课程设计任务书
2008/2009学年第1学期
学院:
电子与计算机科学技术学院
专业:
微电子学
学生姓名:
贾建朋
学号:
0706024214
课程设计题目:
数字秒表电路设计
起迄日期:
2010年1月4日~2010年1月17日
课程设计地点:
电子科学与技术系机房
指导教师:
孟令军
系主任:
任勇峰
下达任务书日期:2010年1月4日
课程设计任务书
1பைடு நூலகம்设计目的:
(1)掌握电子电路的一般设计方法和设计流程;
(2)学习简单电路系统设计,掌握Protel99的使用方法;
(3)理解数字秒表电路及相关触发器、计数显示等单元电路的基本原理;
(4)学习掌握硬件电路设计的全过程。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等):
(1)查阅所用器件技术资料,详细说明设计的数字秒表工作流程;
(2)张建华.数字电子技术.北京:机械工业出版社,2004
(3)陈汝全.电子技术常用器件应用手册.北京:机械工业出版社,2005
(4)毕满清.电子技术实验与课程设计.北京:机械工业出版社,2005
(5)潘永雄.电子线路CAD实用教程.西安:西安电子科技大学出版社,2002
(6)张亚华.电子电路计算机辅助分析和辅助设计.北京:航空工业出版社,2004
(2)数字秒表具有定时和计时及显示功能;
(3)整理设计内容,编写设计说明书。
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕:
(1)理解设计流程和工作原理;
(2)课程设计说明书;
(3)电路原理图及PCB图。
课程设计任务书
4.主要参考文献:
(1)童诗白.模拟电子技术基础.北京:高等教育出版社,2002
2010年01月15日设计答辩与考核
系主任审查意见:
签字:
年月日