直流数字电压表设计实验报告
直流数字电压表设计实验报告
洛阳理工学院实验报告
系部计算机系班级B1
40502 学号B1405022
6
姓名韩亚辉
课程名称单片机原理及应用实验日期2016/6/1 实验名称直流数字电压表设计成绩
实验目得:掌握LED动态显示与A/D转换接口设计方法。
实验条件:装有Keil u Vision3编译软件与ISIS7Professional仿真软件得电脑。
一、实验要求:
(1)数码管动态显示编程;
(2)A/D转换查询法编程;
(3)考察延时量对动态显示效果得影响。
二、实验步骤:
(1)提前阅读与实验7相关得阅读材料;
(2)参照实验原理图,在ISIS中完成电路原理图得绘制;
(3)采用uVision3进行C51动态显示与A/D转换得编程及调试;
三、运行结果:
仿真运行截图如下图所示:
四、实验程序代码:
#include<reg51、h>
sbit _clk=P2^4;
sbitALE=P2^5;
sbit _st = P2^5; //定义AD启动位,_st
sbit _eoc = P2^6; //定义AD结束位,_eoc
sbit _oe = P2^7; //定义AD使能位,_oe
sbit led0 = P2^3; //定义数码管最低位,led0
sbit led1 = P2^2;//定义数码管第二位,led1
sbit led2= P2^1;//定义数码管第三位,led2ﻩ
unsigned char ad_result=0; //定义AD转换结果变量,ad_result。
数字电压表实验报告
简易数字电压表设计报告姓名:***班级:自动化1202学号:****************:***2014年11月26日一.设计题目采用C8051F360单片机最小系统设计一个简易数字电压表,实现对0~3.3V 直流电压的测量。
二.设计原理模拟输入电压通过实验板PR3电位器产生,A/D转换器将模拟电压转换成数字量,并用十进制的形式在LCD上显示。
用一根杜邦实验线将J8口的0~3.3V输出插针与J7口的P2.0插针相连。
注意A/D转换器模拟输入电压的范围取决于其所选择的参考电压,如果A/D 转换器选择内部参考电压源,其模拟电压的范围0~2.4V,如果选择外部电源作为参考电压,则其模拟输入电压范围为0~3.3V。
原理框图如图1所示。
图1 简易数字电压表实验原理框图三.设计方案1.设计流程图如图2所示。
图2 简易数字电压表设计A/D转换和计时流程图2.实验板连接图如图3所示。
图3 简易数字电压表设计实验板接线图3.设计步骤(1)编写C8051F360和LCD初始化程序。
(2)AD转换方式选用逐次逼近型,A/D转换完成后得到10位数据的高低字节分别存放在寄存器ADCOH和ADC0L中,此处选择右对齐,转换时针为2MH Z。
(3)选择内部参考电压2.4V为基准电压(在实际单片机调试中改为3.311V),正端接P2.0,负端接地。
四、测试结果在0V~3.3V中取10组测试数据,每组间隔约为0.3V左右,实验数据如表1所示:显示电压(V)0.206 0.504 0.805 1.054 1.406实际电压(v)0.210 0.510 0.812 1.061 1.414相对误差(%) 1.905 1.176 0.862 0.659 0.565显示电压(V) 2.050 2.383 2.652 2.935 3.246实际电压(v) 2.061 2.391 2.660 2.943 3.253相对误差(%)0.421 0.334 0.301 0.272 0.215表1 简易数字电压表设计实验数据(注:其中显示电压指LCD显示值,实际电压指高精度电压表测量值)五.设计结论1.LCD显示模块的CPLD部分由FPGA充当,芯片本身自带程序,所以这个部分不用再通过quartus软件进行编程。
实验7.直流数字电压表设计
TL0=0x9c;
ET0=1;//打开T0中断
TR0=1;//启动定时器0
EA=1;//打开全局中断
while(1)
{
ST=0;//发出启动脉冲信号
delay(10);
=1;
delay(10);
ST=0;
while(EOC==1);//查询结束状态
delay(100);
uchar code duanma[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0-9数码管段码
sbit p2_3=P2^3;//定义数码管四个位选位
sbit p2_2=P2^2;
sbit p2_1=P2^1;
sbit p2_0=P2^0;
OE=1;//OE置1信号
i=P1;//将A/D结果赋值给i
display();//输出A/D结果
delay(10);
OE=0;//OE置0信号
}
}
/*T0中断函数*/
time()interrupt 1
{
ET0=0;//关闭定时器0中断
TR0=0;//关闭定时器0
TH0=0x9c;//T0装入初值
TL0=0x9c;
(2)A/D转换查询法编程;
(3)考察延时量对动态显示效果的影响。
【实验步骤】
(1)提前阅读与实验7相关的阅读材料;
(2)参考图A.72~A.74;和表A.7,在ISIS中完成原理图的绘制;
(3)采用μVision3进行C51动态显示和A/D转换编程及调试。
【实验要求】
提交实验报告并包括如下内容:电路原理图、A/D转换原理分析、C51源程序(含注释语句)、仿真运行截图及实验小结。
毕业论文数字直流电压表的设计与实现解析
直流数字电压表的设计与实现通信工程专业[摘要]电压表作为一种测量仪,在日常维修、教学和科研的过程中是不可或缺的。
本课题的目的就在于设计一种以单片机为基础的构造简单、性能可靠、灵活好用的数字电压表。
本文首先介绍电压表的发展历程以及课题目的和意义。
然后,对直流数字电压表的硬件系统和软件系统的设计原理及实现方案做了详细。
其中硬件电路主要由集成芯片构成,以达到降低干扰,优化结构,成降低本,方便调节的目的;软件采用C语言编程,增强灵活性和可读性。
最后通过软件仿真和系统调试验证设计系统性能的有效性和可靠性,并对误差和系统调试过程中遇到的问题进行了说明和解决。
[关键词]单片机;数字电压表; A/D转换; 1602液晶显示器The Design & Realization of Direct-current DigitalVoltmeterCommunication Engineering MajorAbstract: V oltmeter as a measuring instrument, in the course of routine maintenance, teaching and scientific research is essential.This topic is to design a simple structure Convenient and practical digital voltmeter.This paper describes the development history of the voltmeter and the purpose and significance of the subject. Then, the hardware system and software system of dc digital voltmeter design principle and implementation scheme in detail. The hardware consists of an integrated circuit chip is mainly constituted so as to minimize interference and optimize the structure, to reduce the convenient adjustment purposes; C programming language enhanced flexibility and readability in the aspect of software.The last performance of the system design are verified through the simulation software and system debugging the validity and reliability of the error and the problems in the system debugging process is illustrated and the solution.Keywords : Micro-controller; digital voltmeter; A / D converter; 1602 LCD Monitor目录1引言 (4)1.1数字电压表的发展背景和意义 (4)1.2数字电压表的现状 (4)2 系统设计方案的选择 (5)2.1 设计方案的选择 (5)2.1.1 基于分立组件的电压表 (5)2.1.2 基于单片机系统的电压表 (5)2.1.3 方案的选择 (6)3 总体设计 (6)3.1 硬件电路的设计 (6)3.1.1 单片机简介及本设计单片机的选择 (6)3.2显示器件的介绍和选择 (10)3.2.1常用显示器件简介 (10)3.2.2 1602液晶的参数资料 (11)3.3模数(A/D)转换芯片的选择 (14)3.3.1 常用的A/D芯片简介 (15)3.3.2模数(A/D)芯片ADC0809的资料 (15)2.3.3 ADC0809应用说明 (18)2.3.4 ADC0809与单片机的接口 (18)4 电路设计 (21)4.1 技术指标 (21)4.2 设计方案 (21)4.3 硬件电路系统模块的设计 (22)4.3.1单片机系统 (22)4.3.2 A/D转换芯片与单片机的连接 (22)4.3.3 1602液晶与单片机连接 (22)4.4 按键控制电路的设计 (22)4.5系统电路的设计 (24)4.6 系统软件的设计 (24)4.6.1主程序的设计 (25)4.6.2 初始化程序 (25)4.6.3 A/D转换子程序 (26)4.6.4 显示子程序 (26)5 系统的调试 (27)5.1 软件调试 (27)5.1.1 加入仿真辅助信号 (27)5.1.2 加载程序 (28)5.2 显示结果及误差分析 (28)5.2.1 显示结果 (28)5.2.2 误差分析 (29)5.3 系统调试中遇到的问题及解决的方法 (29)6 总结 (30)参考文献 (31)附件一总电路图 (31)附件二源程序 (32)致谢 (37)1引言电压、电流和频率在电量的测量中是最基本的三个被测量。
综合实验三 位直流数字电压表
综合实验三213位直流数字电压表一、实验目的 1、了解双积分式A / D 转换器的工作原理2、熟悉213位A / D 转换器CC14433的性能及其引脚功能3、掌握用CC14433构成直流数字电压表的方法二、实验原理直流数字电压表的核心器件是一个间接型A / D 转换器,它首先将输入的模拟电压信号变换成易于准确测量的时间量,然后在这个时间宽度里用计数器计时,计数结果就是正比于输入模拟电压信号的数字量。
1、V -T 变换型双积分A / D 转换器图3-1是双积分ADC 的控制逻辑框图。
它由积分器(包括运算放大器A 1 和RC 积分网络)、过零比较器A 2,N 位二进制计数器,开关控制电路,门控电路,参考电压V R 与时钟脉冲源CP图3-1 双积分ADC 原理框图转换开始前,先将计数器清零,并通过控制电路使开关 S O 接通,将电容C 充分放电。
由于计数器进位输出Q C =0,控制电路使开关S 接通v i ,模拟电压与积分器接通,同时,门G 被封锁,计数器不工作。
积分器输出v A 线性下降,经零值比较器A 2 获得一方波v C ,打开门G ,计数器开始计数,当输入2n个时钟脉冲后t =T 1,各触发器输出端D n-1~D O 由111…1回到000…0,其进位输出Q C =1,作为定时控制信号,通过控制电路将开关S转换至基准电压源-V R ,积分器向相反方向积分,v A 开始线性上升,计数器重新从0开始计数,直到t =T 2,v A 下降到0,比较器输出的正方波结束,此时计数器中暂存二进制数字就是v i 相对应的二进制数码。
2、213位双积分A / D 转换器CC14433的性能特点 CC14433是CMOS 双积分式213位A / D 转换器,它是将构成数字和模拟电路的约7700多个MOS 晶体管集成在一个硅芯片上,芯片有24只引脚,采用双列直插式,其引脚排列与功能如图18-2所示。
图3-2 CC14433引脚排列引脚功能说明:V AG (1脚):被测电压V X 和基准电压V R 的参考地V R (2脚):外接基准电压(2V 或200mV )输入端V X (3脚):被测电压输入端R 1(4脚)、R 1 /C 1(5脚)、C 1(6脚):外接积分阻容元件端C 1=0.1μf (聚酯薄膜电容器),R 1=470K Ω(2V 量程);R 1=27K Ω(200mV 量程)。
数字电压表实验
单片机课程设计报告设计题目:数字电压表专业班级:通信一班组员姓名:1:学号------------------ 姓名:--2:学号------------------ 姓名:--1.引言本次课程设计要求完成是数字电压表的设计,随着电子科学技术的发展,电子测量成为广大电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压的测量甚为突出,因为电压的测量最为普遍。
本次课程设计我们小组在参阅了大量前人设计的数字电压表的基础上介绍一种基于89C52单片机的一种电压测量电路,该电路采用ADC0809高精度A/D转换电路,测量范围直流0 至+5伏,使用7SE数码管显示模块显示。
正文着重给出了软硬件系统的各部分电路, 89C52的特点,ADC0809的功能和应用。
该电路设计新颖、功能强大、可扩展性强。
实验报告首先简要介绍了设计数字电压表的实验要求和目的;根据要求和目的设计出直流数字电压表的系统结构流程,以及硬件系统和软件系统的设计,并给出了硬件电路的设计细节,以及调试和仿真结果。
最后进行了实验和心得体会的总结。
通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。
2.概述2.1实验要求采用ADC0809 和AT89C52 单片机及显示电路完成0~5V 直流电压的检测,并用4位数码管显示。
其测量最小分辨率为0.02V。
2.2实验目的(1)进一步熟悉和掌握单片机的结构和工作原理;(2)掌握单片机的接口技术及,ADC0809芯片的特性,控制方法;(3)通过这次实训设计,掌握以单片机为核心的电路设计的基本方法和技术;(4)通过实际程序设计和调试,逐步掌握模块化程序设计的方法和调试技术。
2.3 实验器材(1)AT89C52芯片(2)ADC0809的A/D 转换器件 (3)四位共阳数码管 (4)11.0592MHz 的晶振 (5)74HC573锁存器 (6)74HC14024做分频器(7)按键,10k 电阻,30pF 瓷片电容 3.总体设计方案3.1系统的总体结构实验原理:数字电压表的实现。
数字电压表课程设计报告
湖南科技大学信息与电气工程学院课程设计报告课程单片机原理及应用题目:数字电压表专业:班级:姓名:学号:任务书1数字电压表的概述数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。
传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。
目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。
与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。
重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。
数字电压表的诞生打破了传统电子测量仪器的模式和格局。
它显示清晰直观、读数准确,采用了先进的数显技术,大大地减少了因人为因素所造成的测量误差事件。
数字电压表是把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式,并加以显示的仪表。
数字电压表把电子技术、计算技术、自动化技术的成果与精密电测量技术密切的结合在一起,成为仪器、仪表领域中独立而完整的一个分支,数字电压表标志着电子仪器领域的一场革命,也开创了现代电子测量技术的先河。
本设计采用了以单片机为开发平台,控制系采用AT89C52单片机,A/D转换采用ADC0809。
系统除能确保实现要求的功能外,还可以方便进行8路其它A/D转换量的测量、远程测量结果传送等扩展功能。
简易数字电压测量电路由A/D转换、数据处理、显示控制等组成。
模拟式电压表具有电路简单、成本低、测量方便等特点,但测量精度较差,特别是受表头精度的限制,即使采用0.5级的高灵敏度表头,读测时的分辨力也只能达到半格。
再者,模拟式电压表的输入阻抗不高,测高内阻源时精度明显下降。
直流电压表的设计实验报告
直流电压表的设计实验报告直流电压表的设计实验报告引言:直流电压表是一种测量电路中直流电压的仪器。
在电子工程领域中,直流电压表是一种常用的测试工具。
本实验旨在设计并制作一台简单实用的直流电压表,以便能够准确测量电路中的直流电压。
一、实验目的:本实验的目的是设计并制作一台直流电压表,通过实验验证其准确性和可靠性。
具体目标如下:1. 理解直流电压表的工作原理;2. 学会使用电流表、电阻器等元器件进行电路设计;3. 测试直流电压表的灵敏度和测量范围。
二、实验原理:直流电压表是基于毫伏表的原理设计的。
毫伏表是一种电压测量仪器,它通过将待测电压与已知电阻串联,通过测量电流大小来计算待测电压的值。
直流电压表的关键是选择合适的电阻值,以确保测量电流的幅度适中,既能够保证测量精度,又不会对待测电路产生明显的影响。
三、实验材料和仪器:1. 直流电源;2. 电流表;3. 电阻器;4. 连接线;6. 待测电路。
四、实验步骤:1. 将直流电源的正极与待测电路的正极连接,负极与待测电路的负极连接;2. 将电流表的正极与待测电路的正极连接,负极与电阻器的一端连接;3. 将电阻器的另一端与待测电路的负极连接;4. 打开直流电源,调节电压大小,观察电流表的读数;5. 记录电流表的读数和待测电压的实际值;6. 重复步骤4和步骤5,改变待测电压的大小,以验证直流电压表的准确性和可靠性。
五、实验结果和分析:通过实验测量,我们得到了一系列的待测电压和电流表的读数。
根据实验数据,我们可以绘制出待测电压和电流表读数的关系曲线。
通过分析曲线,我们可以得出以下结论:1. 直流电压表的灵敏度较高,能够准确测量待测电压的变化;2. 直流电压表的测量范围较广,能够满足大部分实际测量需求;3. 直流电压表的测量精度较高,能够满足精确测量的要求。
六、实验总结:通过本实验,我们成功设计并制作了一台直流电压表。
实验结果表明,该直流电压表具有较高的灵敏度、较广的测量范围和较高的测量精度。
课程设计报告 直流数字电压表设计.
电子技术基础课程设计题目名称:直流数字电压表指导教师:唐治德学生班级:学号:学生姓名:评语:成绩:重庆大学电气工程学院2015年7月3日目录一、内容摘要二.课程设计任务与要求2.1设计目的2.2设计求三.设计思路和方案选择3.1 设计思路3.2 方案选择四.工作原理4.1 基本原理框图4.2 ICL7107的工作原理4.3原理图五.电路设计与仿真六、系统调试与结果分析6.1调试方法6.2测试结果分析六.元器件清单八、总结及心得体会九、参考文献内容摘要伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。
电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。
本次设计的主要设计内容为三档直流电压表。
在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。
本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。
同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。
关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。
课程设计任务及要求2.1设计目的1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法2、掌握常用数字集成电路的功能和使用2.2设计要求1.设计直流数字电压表2.直流电压测量范围:0V~1.999V,0V~19.99V,0V~199.9V。
3.直流输入电阻大于100kΩ。
4.画出完整的设计电路图,写出总结报告。
5.选做内容:自动量程转换。
设计思路和方案选择3.1设计思路根据设计要求和功能,我们考虑了多种可行性方案。
电工实验直流电路实验报告
电工实验直流电路实验报告篇一:电工与电子技术实验报告XX实验一电位、电压的测量及基尔霍夫定律的验证一、实验目的1、用实验证明电路中电位的相对性、电压的绝对性。
2、验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。
3、掌握直流电工仪表的使用方法,学会使用电流插头、插座测量支路电流的方法。
二、实验线路实验线路如图1-1所示。
DAE12BC图1-1三、实验步骤将两路直流稳压电源接入电路,令E1=12V,E2=6V(以直流数字电压表读数为准)。
1、电压、电位的测量。
1)以图中的A点作为电位的参考点,分别测量B、C、D各点的电位值U及相邻两点之间的电压值UAB、UCD、UAC、UBD,数据记入表1-1中。
2)以C点作为电位的参考点,重复实验内容1)的步骤。
2、基尔霍夫定律的验证。
1)实验前先任意设定三条支路的电流参考方向,如图中的I1,I2,I3所示,熟悉电流插头的结构,注意直流毫安表读出电流值的正、负情况。
2)用直流毫安表分别测出三条支路的电流值并记入表1-2中,验证?I=0。
3)用直流电压表分别测量两路电源及电阻元件上的电压值并记入表1-2中,验证?U=0。
四、实验数据表1-1表1-2五、思考题 1、用万用表的直流电压档测量电位时,用负表棒(黑色)接参考电位点,用正表棒(红色)接被测各点,若指针正偏或显示正值,则表明该点电位参考点电位;若指针反向偏转,此时应调换万用表的表棒,表明该点电位参考点电位。
A、高于B、低于 2、若以F点作为参考电位点,R1电阻上的电压 ()A、增大B、减小C、不变六、其他实验线路及数据表格图1-2表1-3 电压、电位的测量实验二叠加原理和戴维南定理一、实验目的1、牢固掌握叠加原理的基本概念,进一步验证叠加原理的正确性。
2、验证戴维南定理。
3、掌握测量等效电动势与等效内阻的方法。
二(转载自:小草范文网:电工实验直流电路实验报告)、实验线路1、叠加原理实验线路如下图所示DE1IAIB2C图2-12、戴维南定理实验线路如下图所示ALB图2-2三、实验步骤1、叠加原理实验实验前,先将两路直流稳压电源接入电路,令E1=12V,E2=6V。
数字电压表实验报告
数字电压表实验报告数字电压表实验报告引言:数字电压表是一种用于测量电压的电子仪器,它通过将电压信号转换为数字形式来显示测量结果。
本实验旨在通过使用数字电压表来测量不同电压信号,并探究其测量原理和使用方法。
实验目的:1. 理解数字电压表的工作原理;2. 学习使用数字电压表测量直流电压和交流电压;3. 掌握数字电压表的使用技巧。
实验器材:1. 数字电压表;2. 直流电源;3. 交流电源。
实验步骤:1. 将数字电压表与直流电源连接,调整电源输出电压为5V;2. 打开数字电压表,选择直流电压测量模式;3. 将数字电压表的测量引线分别与电源的正负极连接;4. 观察数字电压表的显示结果,并记录测量数值;5. 重复步骤1-4,将电源输出电压调整为不同数值,如10V、15V等,记录测量结果。
实验结果:在实验过程中,我们使用数字电压表测量了不同电压信号,并记录了测量结果。
通过分析实验数据,我们发现数字电压表能够准确地测量直流电压,并显示出相应的数值。
在测量过程中,我们注意到数字电压表的显示屏幕上有一个小数点,用于表示小数位数。
当电压信号较小时,小数点会显示更多的位数,以提高测量精度。
此外,我们还发现数字电压表的测量结果具有一定的误差,这可能是由于仪器本身的精度限制或测量过程中的误差引起的。
讨论与分析:通过本次实验,我们深入了解了数字电压表的工作原理和使用方法。
数字电压表通过将电压信号转换为数字形式,并通过显示屏幕上的数字来表示测量结果。
在测量直流电压时,数字电压表能够提供较高的测量精度,并且可以根据电压信号的大小自动调整小数位数。
然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。
结论:本次实验通过使用数字电压表测量不同电压信号,深入了解了数字电压表的工作原理和使用方法。
我们发现数字电压表能够准确地测量直流电压,并提供较高的测量精度。
然而,在测量交流电压时,由于交流电压的波动性,数字电压表的测量结果可能会有一定的误差。
数字电压表的设计
3. 数字电压表的测量原理与主要器件性能分析
直流数字电压表的核心器件是一个间接型A / D转换器: 3.1.双积分A/D转换器 1).转换方式 :V-T型间接转换ADC。输入的模拟电压信 号变换成易于准确测量的时间量,然后在这个时间宽度 里用计数器计时,计数结果就是正比于输入模拟电压信 号的数字量。 2).电路结构 :图-1是这种转换器的原理电路, ①积分器A1:定时信号控制开关S2,Qn为不同电平时, 极性相反的输入电压Vx和参考电压 VREF将分别加到积分 器的输入端,进行两次方向相反的积分,积分时间常数 τ=RC。 ②过零比较器A2:确定积分器的输出电压V0过零的时刻, V0≥0时比较器输出VC为低电平;当V0<0时,VC为高电平. 输出信号接至时钟控制门(G)作为关门和开门信号
Vi
8
1
7
2
6
3
5
4
MC1403
Vo GND
4.2. 标准电压源的连接和调整:
插上MC1403基准电源,用标准数字电压表检查输出是否为2.5V,然后 调整10KΩ电位器,使其输出电压为2.00V,调整结束后去掉电源线。
2018/10/16 9
4. 3. 总装测试的方法与步骤:
1)接线:按设计电路接好线路,并插上MC(TC)14433及MC1413等芯片。 2) 通电显示检查:接通+5V、-5V电源及地线,当输入端接地,此时显示 器将显示“000”值,否则,应依次检测电源正负电压,用示波器测量、 观察DS1~DS4 ,Q0~Q3波形,判别故障所在。 3) 电压粗测:调节输入电压VX 的高低,4位输出显示数码应相应变化,然 后进入下一步精调。 4)测量基准校正: 用标准数字万用表(示波器)测量输入电压,调节电位器,使
数字电压表设计实验报告
《数字电路》课程设计报告课题:数字电压表专业:电子信息工程班级:姓名:学号:指导老师:日期:2013年12月10日目录一、摘要 (2)二、设计任务及要求 (2)三、设计总体方案 (2)四、单元电路的设计 (3)五、调试过程及结果分析 (6)六、心得感悟 (7)七、参考文献 (7)八、附录(整机逻辑电路图、实物图、PCB板图) (8)一、摘要本文主要介绍的是基于ICL7107数字电压表的设计的设计,ICL7107是目前广泛应用于数字测量系统是一种集三位半转换器段驱动器位驱动器于一体的大规模集成电路,ICL7107是目前广泛应用于数字测量系统的一种3位半A/D转换器,能够直接驱动共阳极数字显示器,够成数字电压表,此电路简洁完整,稍加改造就可以够成其他电路,如数字电子秤、数字温度计的等专门传感器的测量工具。
ICL7107是目前广泛应用于数字测量系统是一种集三位半转换器段驱动器、位驱动器于一体的大规模集成电路,官地方官方主要用于对不同电压的测量和许多工程上的应用,调频接口电路,它采用的是双积分原理完成A/D转换,全部转换电路用CMOS大规模集成电路设计。
应用了ICL7107芯片数码管显示器等,芯片第一脚是供电,正确电压时DC5V,连接好电源把所需要测量的物品连接在表的两个端口,从而可以在显示器上看到所需要的结果。
在软件设计上,主要编写了实现计数频率的调节和单片机功能的相关程序,,最后把软件设计和硬件设计结合到一起,然后进行调试。
二、设计任务及要求1. 设计一个数字电压表电路,能够实验电压测量;2.测量范围:通过小数点驱动电路,直流电压0V到1.999V,0V到19.99V,0V 到199.9V,0V到1999V.3.画出数字电压表电路原理图,并作出PCB图;4.利用芯片ICL7107来实现电路功能;5.选择合适的电阻、电容、液晶显示器等器件;6.完成全电路理论设计、制作、调试,制板锡焊;7.上交制作产品一件。
数字电压表课程设计实验报告
自动化与电气工程学院电子技术课程设计报告题目数字电压表的制作专业班级学号学生指导教师二○一三年七月一、课程设计的目的与意义1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作容和具体的设计方法。
2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。
3.通过设计也有助于复习和巩固以往的模电、数电容,达到灵活应用的目的。
在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。
在此过过程中培养从事设计工作的整体观念。
4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。
二、电路原理图数字电压表原理图三、课程设计的元器件1.课程设计所使用的元器件清单:2.主要元器件介绍(1)芯片ICL7107:ICL7107的工作原理双积分型A/D转换器ICL7107是一种间接A/D转换器。
它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。
它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。
积分器是A/D转换器的心脏,在一个测量周期,积分器先后对输入信号电压和基准电压进行两次积分。
比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。
时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。
它是由部的两个反向器以及外部的RC组成的。
其振荡周期Tc=2RCIn1.5=2.2RC 。
ICL7106A/D转换器原理图计数器对反向积分过程的时钟脉冲进行计数。
控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。
分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。
数字电压表实验报告
实验五电压表实验1.设计过程:按照“建立项目文件”--“新建VHDL源文件”--“配置管脚”--“编译下载”--“芯片测试”的顺序。
原理图:1.FPGA的系统时钟来自于小脚丫FPGA开发板配置的24MHz时钟晶振,连接FPGA的C1引脚。
2.实验借助FPGA底板自带的ADC模块,具体信息如下:ADC模块的功能时实现模拟信号转换数字信号,主要包含P1(测试点)、Radj1(10K的可调电位器)和U3(8位串行模数转换器ADC081S)。
在不外接其他信号的情况下,旋转电位计,ADC081S的输入电压在0到3.3V之间变化,通过模数转换实现ADC的采样,原理图连接如图所示:3.实验思路如下:1.根据ADC模块的时序图,完成将ADC模块和FPGA引脚连接起来。
时序图如下:2. 根据程序的框图把完整的程序写出来,显示模块和译码模块利用以前写过的进行例化使用。
以下是程序的框图:VHDL 汇编语言如下: 2.总代码: library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity dianyabiao is port( clk: in std_logic;--定义输入和输出 sda: in std_logic; sclk: buffer std_logic; cs: out std_logic; sdata: out std_logic; sck: out std_logic; rck: out std_logic );end dianyabiao;architecture one of dianyabiao is component led_573 --例化port( rst: in std_logic; clk_t_in : in std_logic; sdata: out std_logic; H: in std_logic; ledcode1,ledcode2,ledcode3,ledcode4: in std_logic_vector(6 downto 0); dot: in std_logic_vector(3 downto 0);sda sck cssck: out std_logic;rck: out std_logic);end component;component seg7coderport(data_in: in integer range 0 to 15;seg7_out: out std_logic_vector(6 downto 0));end component;signal ad_phase: integer range 0 to 19;signal clk_t_in: std_logic;signal ad_clk: std_logic;signal ad_out:std_logic_vector(7 downto 0);signal ad:std_logic_vector(7 downto 0);signal data_in1:integer range 0 to 15;signal data_in2:integer range 0 to 15;signal seg1,seg2: std_logic_vector(6 downto 0); --七段码beginu1:seg7coderport map(data_in => data_in1,seg7_out => seg1);u2:seg7coderport map(data_in => data_in2,seg7_out => seg2);u3:led_573port map(rst=>'1',clk_t_in => clk,H => '1',dot => "0000",ledcode1 => seg1,ledcode2 => seg2,ledcode3 =>"1111110",ledcode4 =>"1111110",sdata => sdata,sck => sck,rck => rck);--得到分频时钟,50-20000khz的分频process(clk)variable divcnt: integer range 0 to 1000;beginif clk'event and clk='1' thenif divcnt=1000 thendivcnt:=0;clk_t_in<=not clk_t_in;elsedivcnt:=divcnt+1;clk_t_in<=clk_t_in;end if;end if;end process;--ad模快process(clk_t_in)-- ad_clk<=clk_t_in;beginif clk_t_in'event and clk_t_in = '1' thensclk<=not sclk;if sclk='1' thenif ad_phase = 19 thenad_phase<=0;elsead_phase<=ad_phase+1;end if;end if;if sclk='0' and ad_phase>16 then --保证cs的值cs<='1';elsecs<='0';end if;if ad_phase=3 and sclk='0' then --值的输出ad(7)<=sda;end if;if ad_phase=4 and sclk='0' thenad(6)<=sda;end if;if ad_phase=5 and sclk='0' thenad(5)<=sda;end if;if ad_phase=6 and sclk='0' thenad(4)<=sda;end if;if ad_phase=7 and sclk='0' thenad(3)<=sda;end if;if ad_phase=8 and sclk='0' thenad(2)<=sda;end if;if ad_phase=9 and sclk='0' thenad(1)<=sda;end if;if ad_phase=10 and sclk='0' thenad(0)<=sda;end if;if ad_phase=11 and sclk='0' thenad_out<=ad;end if;end if;end process;process(ad_out) --完成将ad_out的八位输出转化成两位16进制的数begincase ad_out(3 downto 0) is--when "0000"=>data_in1<=0;when "0001"=>data_in1<=1;when "0010"=>data_in1<=2;when "0011"=>data_in1<=3;when "0100"=>data_in1<=4;when "0101"=>data_in1<=5;when "0110"=>data_in1<=6;when "0111"=>data_in1<=7; when "1000"=>data_in1<=8; when "1001"=>data_in1<=9; when "1010"=>data_in1<=10; when "1011"=>data_in1<=11; when "1100"=>data_in1<=12; when "1101"=>data_in1<=13; when "1110"=>data_in1<=14; when "1111"=>data_in1<=15; end case;case ad_out(7 downto 4) is when "0000"=>data_in2<=0; when "0001"=>data_in2<=1; when "0010"=>data_in2<=2; when "0011"=>data_in2<=3; when "0100"=>data_in2<=4; when "0101"=>data_in2<=5; when "0110"=>data_in2<=6; when "0111"=>data_in2<=7; when "1000"=>data_in2<=8; when "1001"=>data_in2<=9; when "1010"=>data_in2<=10; when "1011"=>data_in2<=11; when "1100"=>data_in2<=12; when "1101"=>data_in2<=13; when "1110"=>data_in2<=14; when "1111"=>data_in2<=15; end case;end process;end architecture;3.管脚分配:4.实验现象:旋转电压旋钮,电压从00变化到FF,现象如下面所示:。
数字电路实验三:数字电压表(设计报告)
数字电路实验三:数字电压表(设计报告)数电实验3设计报告实验名称:数字电表实验目的:1.掌握组合逻辑与时序逻辑电路的设计方法及调试方法2.熟练掌握常用MSI逻辑芯片的功能及使用方法3.初步掌握Verilog HDL数字系统设计方法4.熟悉PLD实验箱的结构和使用及Quartus II软件的基本操作5.掌握采用Quartus II软件和实验箱设计实现逻辑电路的基本过程设计任务及要求:1、利用FPGA 与ADC0809 设计一个数字电压表,能够测量0-5V 之间的直流电压值,四位数码显示。
2、在实验电路板上焊接插座,将ADC0809 安装在插座上。
3、选择一路模拟量输入通道(如:IN0),经可调电位器送入0-5V 的直流电压。
4、ADC0809 时序由FPGA 控制,ADC 转换输出的数字量(D7-D0)送回FPGA,转换结果由实验箱上的LED 数码管以十进制形式显示。
5、ADC0809 的VREF 接+5V 电压。
6、FPGA 与ADC0809 之间接口利用实验箱上的“彩色液晶”接口。
程序设计过程:1、定义程序名、输入输出量和初始化Moduleadc_cc(clkin,rst,clkout,sel,data,start,eoc,ale,oe,seg_com,seg_data); input clkin; //时钟输入50MHZ inputrst;inputeoc; //ADC0809input [7:0] data; //输入八位数据output clkout; //时钟输出output start; //ADC0809起始信号 output [2:0] sel;//转换通道 output ale; //ADC0809 outputoe;//ADC0809 output [7:0] seg_com; //位选 output [7:0] seg_data;//段选parameter CLK_FREQ = 'D50_000_000;//系统时钟50MHZ parameter CLK_out_FREQ ='D500_000;//输出时钟parameter state_pre = 0; //sel 状态1parameter state_pre2 = 1; //ale 状态2 parameter state_start =2; //start 状态3 parameter state_conv = 3; //conv 状态4parameter state_wait = 4; // 状态5 parameter state_readpre = 5;// 状态6 parameter state_read = 6;//over // 状态7reg [2:0] sel; //定义寄存器 reg ale;//定义寄存器 reg start; //定义寄存器 regoe; //定义寄存器reg [7:0] data_led; //LED显示数据 reg[31:0] DCLK_DIV; //32位计数器regclkout; //提供ADC0809时钟500KHZ reg [3:0]state = state_pre;2、按照PDF所给出的时序图进行编程对照时序图,使ADC0809按上图方式工作进行编程always @ (negedgeclkout) case (state) state_pre : begin sel[2:0] <='b000; //ABC 000 通道0 state <= state_pre2;//转到状态2 oe<= 0; //OE拉低 end state_pre2 :begin ale <= 1; state <= state_start; endstate_start : begin start <= 1; ale<= 0; state <=state_conv; end state_conv : begin ale <= 0;start <= 0; state <= state_wait; end state_wait :begin if(eoc) begin state <= state_readpre; endend state_readpre : begin oe<= 1; state <= state_read; end state_read : begin data_led = data; state <= state_pre; end endcase//ALE拉高 //转到状态3 //START拉高 //转到状态4//ALE拉低锁定 //START拉低 AD启动 //转到状态5 //查询EOC是否被拉高 //转到状态6 //输出使能拉高 //转到状态7//开始读数据 //重新回到状态1 进行下一次AD转换 3、将AD转换结果用数码管显示。
~V数字式直流电压表设计实验报告
uint adc_val,dis_val;
uchar i,cnt;
/************************************************/
void port_init(void)
return(temp2);
}
/**************************/
uint conv(uint i)
{
long x;
uint y;
x=(5000*(long)i)/1023;
y=(uint)x;
return y;
}
void delay(uint k)
{
uint i,j;
for(i=0;i<k;i++)
Delay_nms(5);
LcdWriteCommand(0x0c);//开显示,不显示光标
Delay_nms(5);
}
//************写命令到LCM子函数************
void LcdWriteCommand(uchar CMD)
{
LCM_RS_0;LCM_RW_0;
DataPort=CMD;
2. 掌握数据采集与显示的应用;
3.掌握数据处理的方法;
二、实验内容
1. 编一程序,实现0~5V 数字式直流电压表功能,用电位器RV1 作模拟量的输入,右边4
位数码管显示输入电压值,使用 PA7 进行模拟量输入。其仿真电路见下图5-1所示。
图5-10~5V 数字式直流电压表仿真电路图
2.实现输入信号的幅值为 0~10V,重新设计实现电路和算法程序,电路图如5-2所示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
洛阳理工学院实验报告
系部计算机
系
班级B14050
2
学号B姓名韩亚辉课程名称单片机原理及应用实验日期2016/6/1实验名称直流数字电压表设计成绩
实验目的:掌握LED 动态显示和A/D转换接口设计方法。
实验条件:装有Keil u Vision3编译软件和ISIS 7Professional仿真软件的电脑。
一、实验要求:
(1)数码管动态显示编程;
(2)A/D转换查询法编程;
(3)考察延时量对动态显示效果的影响。
二、实验步骤:
(1)提前阅读与实验7相关的阅读材料;
(2)参照实验原理图,在ISIS中完成电路原理图的绘制;
(3)采用uVision3进行C51动态显示和A/D转换的编程及调试;
三、运行结果:
仿真运行截图如下图所示:。