EDA技术与CPLD FPGA开发应用简明教程 7.2 二进制频移键控FSK调制器与解调器设计新.ppt

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity FSK2 is
port(clk :in std_logic;

start :in std_logic;

x :in std_logic;

y :out std_logic);

x1

x2

0
x1 x2 0
判输入为f1信号 判输入为f 2 信号
2020/1/6200270-220-1/12/6
接下页7第7页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
输入
带通滤 波f0
载波f 包络检 波
cos0 f
带通滤 载波f 包络检
波f1

cos1 f
抽样判 输出 决
end if;
elsif xx'event and xx='1'then m<=m+1; --计xx信号的脉冲个数
end if;
end process;
end behav;
2020/1/6200270-220-1/12/6
22第22页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
end FSK2;
architecture behav of FSK2 is
signal q:integer range 0 to 11;
--系统时钟 --同步信号 --调制信号 --基带信号
--分频计数器
2020/1/6200270-220-1/12/6
接下2页0第20页
EDA技术及CPLD/FPGA应用简明教程
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
FSK调制VHDL程序的电路符号
2020/1/6200270-220-1/12/6
13第13页
EDA技术及CPLD/FPGA应用简明教程
FSK调制VHDL程序
清华大学出版社
library ieee;
use ieee.std_logic_arith.all;
end if;
end process;
2020/1/6200270-220-1/12/6
接下1页5第15页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
process(clk) --对系统时钟clk的分频,得到载波f2
begin
if clk'event and clk='1' then
接下1页6第16页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
process(clk,x) --完成对基带信号的FSK调制 begin if clk'event and clk='1' then if x='0' then y<=f1; else y<=f2; end if; end if; end process; end behav;
清华大学出版社
signal xx:std_logic;
--寄存器
signal m:integer range 0 to 5;
--计数器
begin
process(clk)
--对系统时钟进行q分频
begin
if clk'event and clk='1' then xx<=x;

--在clk信上升沿时,x信号对中间信号xx赋值
if start='0' then q<=0;
--if语句完成Q的循环计数
elsif q=11 then q<=0;
else q<=q+1;
end if;
end if;
end process;
2020/1/6200270-220-1/12/6
接下1页1第11页
EDA技术及CPLD/FPGA应用简明教程
FSK调制VHDL程序
清华大学出版社
clk start
基带信号
FPGA
分频器1
分频器2
载波f1 载波f2
二选一 选通开关
调制信号
注:图中没有包含模拟电路部分,调制信号为数字信号。
2020/1/6200270-220-1/12/6
12第12页
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity FSK is
port(clk :in std_logic;
--系统时钟

start:in std_logic;
--开始调制信号

x :in std_logic;
定时 脉冲
2020/1/6200270-220-1/12/6
8 第8页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
接收信号经过并联的两路带通滤波器进行滤波与本地相干 载波相乘和包络检波后,进行抽样判决,判决的准则是比 较两路信号包络的大小。假设上支路低通滤波器f 1 输出为, 下支路低通滤波器输出为,则判决准则是:
2020/1/6200270-220-1/12/6
17第17页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
FSK解调VHDL程序及仿真
FSK解调方框图:
FPGA
clk
分频器q
start
计数器m
判决 基带信号
调制信号
寄存器xx
2020/1/6200270-220-1/12/6
18第18页
更多课程配套课件资源请访问在线教务辅导网 在线教务辅导网:
2020/1/6200270-220-1/12/6
23第23页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
2020/1/6200270-220-1/12/6
24第24页
EDA技术及CPLD/FPGA应用简明教程
2020/1/6200270-220-1/12/6
1 第1页
EDA技术及CPLD/FPGA应用简明教程
FSK信号的产生有两种方法
1.直接调频法 2.频率键控法。
清华大学出版社
2020/1/6200270-220-1/12/6
2 第2页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
接下1页0第10页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
输入的FSK中频信号分别经过中心频为、的带通滤波器,然 后分别经过包络检波,包络检波的输出在t=kTb时抽样(其 中k为整数),并且将这些值进行比较。
根据包络检波器输出的大小,比较器判决数据比特是1还是0。
2020/1/6200270-220-1/12/6
27第27页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
FSK解调VHDL程序的电路符号
2020/1/6200270-220-1/12/6
19第19页
EDA技术及CPLD/FPGA应用简明教程
FSK解调VHDL程序
清华大学出版社
library ieee;
use ieee.std_logic_arith.all;
如果上支的信号包络较大,则判决为“1”;反之,判决为 收到为“0”。
2020/1/6200270-220-1/12/6
9 第9页
EDA技术及CPLD/FPGA应用简明教程
FSK滤波非相干解调
清华大学出版社
接收的 FSK 信号
带通滤 波 FH
包络检波
带通滤 波 FL
包络检波
+
判决 -
2020/1/6200270-220-1/12/6
这种方法产生的调频信号是相位连续的,虽然实现方法简 单,但频率稳定度不高,同时频率转换速度不能做得太快, 但是其优点是由调频器所产生的FSK信号在相邻码元之间
的相位是连续的
2020/1/6200270-220-1/12/6
3 第3页
EDA技术及CPLD/FPGA应用简明教程
2.频率键控法
清华大学出版社
5 第5页
EDA技术及CPLD/FPGA应用简明教程
FSK信号的解调
清华大学出版社
数字频率键控(FSK)信号常用的解调方法 有很多种如:
1.同步(相干)解调法
2. FSK滤波非相干解调
2020/1/6200270-220-1/12/6
6 第6页
EDA技术及CPLD/FPGA应用简明教程
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
signal q2:integer range 0 to 3; --载波信号f2的分频计数器
signal f1,f2:std_logic; --载波信号f1,f2
begin
process(clk) --对系统时钟clk的分频,得到载波f1
清华大学出版社
2020/1/6200270-220-1/12/6
25第25页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
2020/1/6200270-220-1/12/6
26第26页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
2020/1/6200270-220-1/12/6
--基带信号

y :out std_logic); --调制信号
end FSK;
architecture behav of FSK is
signal q1:integer range 0 to 11; --信号f1的分频计数器
2020/1/6200270-220-1/12/6
接下1页4第14页
清华大学出版社
键控法产生的 FS K信号频率稳定度可以做得很高并 且没有过渡频率,它的转换速度快,波形好。
频率键控法在转换开关发生转换的瞬间,两个高频振 荡的输出电压通常不可能相等,于是uFSK(t)信号在 基带信息变换时电压会发生跳变,这种现象也称为相 位不连续,这是频率键控特有的情况。
2020/1/6200270-220-1/12/6
if clk'event and clk='1' then
if start='0' then q1<=0;
elsif q1<=5 then f1<='1';q1<=q1+1;

elsif q1=11 then f1<='0';q1'0';q1<=q1+1;
end if;
清华大学出版社
1.同步解调法
在同步解调器中,有上、下两个支路,输入的
FSK信号经过 f1 和 f 2 两个带通滤波器后变成了 上、下两路ASK信号,之后其解调原理与ASK 类似,但判决需对上、下两支路比较来进行。
假设上支路低通滤波器输出为 x1 ,下支路低通
滤波器输出为 x2 ,则判决准则是:
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
7.2 二进制频移键控(FSK)调制器与解调器设计
频移键控即FSK(Frequency-Shift Keying)数字信号对 载波频率调制,主要通过数字基带信号控制载波信号的频 率来来传递数字信息。
在二进制情况下,“1”对应于载波频率,“0”对应载波频 率,但是它们的振幅和初始相位不变化。
if start='0' then q2<=0;
elsif q2=1 then f2<='0';q2<=0;

elsif q2<=0 then f2<='1';q2<=q2+1;

else f2<='0';q2<=q2+1;
end if;
end if;
end process;
2020/1/6200270-220-1/12/6
频率键控法也称频率选择法,下图是它实现的原理框图。 它有两个独立的振荡器,数字基带信号控制转换开关, 选择不同频率的高频振荡信号实现FSK调制。
~ f1
基带 信号
~ f2
2020/1/6200270-220-1/12/6
uFSK (t)
接下页 4 第4页
EDA技术及CPLD/FPGA应用简明教程
1.直接调频法
用二进制基带矩形脉冲信号去调制一个调频器,使其输出 两个不同频率的码元。一般采用的控制方法是:当基带信 号为正时(相当于“1”码),改变振荡器谐振回路的参 数(电容或者电感数值),使振荡器的振荡频率提高(设 为f1);当基带信号为负时(相当于“0”码),改变振 荡器谐振回路的参数(电容或者电感数值),使振荡器的 振荡频率降低(设为f2);从而实现了调频。
接下2页1第21页
EDA技术及CPLD/FPGA应用简明教程
清华大学出版社
process(xx,q)
--此进程完成FSK解调
begin
if q=11 then m<=0; --m计数器清零
elsif q=10 then
if m<=3 then y<='0';
else y<='1';
相关文档
最新文档