EDA课程设计--简易14位数字密码锁控制电路设计

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

要 同时报警)

利用 MAXPLUS2 软件进行设计、编译、并在 FPGA 芯片上实现
14 位密码自己设定。本次设计采用本人所在班级+本人学号后三位
二进制,一班为 01.

间 进
1周


要 参 《EDA 实验指导书》 主编:电子信息教研室 考 《EDA 技术与 CPLD/FPGA 开发应用简明教程》 主编:刘爱荣、王振成 资 出版社:清华大学出版社
2.3EDA 的应用
随着计算机技术和应用的发展,计算机辅助设计
(CAD)和设计自动化(DA)技术已在电子产品(系统)
的设计领域得到广泛应用。自对电子产品的概念级设计
之始,直至产品的物理级设计,EDA 技术借助计算机存 储量大、运行速度快的特点,可对设计方案进行人工难 以完成的模拟评估、设计检验、设计优化和数据处理等 工作。目前,不同厂商、不同技术等级的 EDA 系统都在 应用流行。根据国内煤炭行业对电子系统的实际需求, 本文着重对 EDA 的基本工具作介绍。
主要针对电子电路设计、PCB 设计和 IC 设计。
2.2EDA 技术的历史与发展
20 世纪 90 年代,国际上电子和计算机技术较先进的国家,一直在积极 探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的 变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如 CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设 计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和 工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快 捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观 念,促进了 EDA 技术的迅速发展。 EDA 是电子设计自动化(Electronic Design Automation)的缩写,在 20 世纪 90 年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、 计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA 技术就是以计算机为工具,设计者在 EDA 软件平台上,用硬件描 述语言 HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、 分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配 编译、逻辑映射和编程下载等工作。EDA 技术的出现,极大地提高了电 路设计的效率和可*性,减轻了设计者的劳动强度。
4.提高部分:增加修改密码功能。
设计方案 4.1 六位锁存器 IC 1、VHDL 源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ic is port(clk0:in std_logic; clr0:in std_logic; d0:in std_logic_vector(6 downto 0); q:out std_logic_vector(6 downto 0)); end ic; architecture art1 of ic is begin process(clk0,clr0) begin if(clr0='0')then q<="0000000";
entity ic is port(clk0:in std_logic; clr0:in std_logic; d0:in std_logic_vector(6 downto 0); q:out std_logic_vector(6 downto 0)); end ic; architecture art1 of ic is begin process(clk0,clr0) begin if(clr0='0')then q<="0000000"; elsif(clk0' event and clk0='1')then q<=d0; end if; end process; end art1; library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity yima is port(a:in std_logic_vector(6 downto 0); b:in std_logic_vector(6 downto 0); out0:out std_logic); end yima; architecture are of yima is signal s3:std_logic_vector(13 downto 0); begin process(a,b) begin s3<=a&b; if(s3="01000100100110")then out0<='1'; else out0<='0'; end if;
为低电平,光报警不会启动,声报警为高阻状态 ,L11 的 Q 端为低电平 L1 高阻状态。
刚开始时 L10 的 Q 端为低电平,当确定高七位密码的信号 CLK 变为 高电平时,IC9A 的锁存信号 CLK 产生一个上升沿,锁存高七位密码, 此时 L10 的 Q 端变为高电平,则 L1 门被关闭,没有 CLR 有效时,高位 密码不会改变。
主要内容 3.1 设计要求
1、14 位数字密码分成高 7 位(DH6….DH0)和低 7 位(DL6….DL0), 用数字逻辑开关预置,输出信号 out 为 1 表示开锁,否则关闭。
2、 14 位数字密码分时操作,先预置高 7 位,然后再置入低 7 位,(顶层电路可参考图 1) 。
3、要求电路工作可靠,保密性强,开锁出错立即报警,(用声 光两种形式同时报警) 。
3、操作步骤
① 先清零 →置入 DH6-DH0 高位密码→② 按下 CLK →高 7 位被存 在 ic9a 的锁存器中 →③ 置低 7 位密码→out2=1,表示开锁密码正确 → out2b=1 →标志输入正确密码。④ 按下 CLK2 →这时 L11 的 Q 端控制 三态门开锁 out=1 .如果密码不正确,控制 L15 → out1A、out1B 为高电 平报警。
EDA 课 程 设 计
课程设计任务书
姓 名
学号
班 级
学院 电子信息学院
课 程
EDA课程设计
题 目
简易 14 位数字密码锁控制电路设计
14 位数字密码分成高七位和低七位,用数字逻辑开关预置,输出信
号 OUT 为 1 表示开锁,否则关闭。
14 位数字密码分时操作,先预置高七位,然后再预置低七位


要求电路可靠,保密性强,开锁出错立即报警,(用声光两种形式
EDA 技术 2.1EDA 的概念
EDA 技术是在电子 CAD 技术基础上发展起来的计算机 软件系统,是指以计算机为工作平台,融合了应用电子 技术、计算机技术、信息处理及智能化技术的最新成果, 进行电子产品的自动设计。
利用 EDA 工具,电子设计师可以从概念、算法、协议等 开始设计电子系统,大量工作可以通过计算机完成,并 可以将电子产品从电路设计、性能分析到设计出 IC 版图 或 PCB 版图的整个过程的计算机上自动处理完成。
begin process(a,b) begin s3<=a&b; if(s3="01000100100110")then out0<='1'; else out0<='0'; end if; end process; end are; 2、实体图
3、仿真图
4.3 IC9A 集成模块 1、VHDL 源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;
现在对 EDA 的概念或范畴用得很宽。包括在机械、电子、 通信、航空航天、化工、矿产、生物、医学、军事等各
个领域,都有 EDA 的应用。目前 EDA 技术已在各大公
司、企事业单位和科研教学部门广泛使用。例如在飞机
制造过程中,从设计、性能测试及特性分析直到飞行模
拟,都可能涉及到 EDA 技术。本文所指的 EDA 技术,
component yima port(a:in std_logic_vector(6 downto 0); b:in std_logic_vector(6 downto 0); out0:out std_logic); end component; signal s1:std_logic_vector(6 downto 0); begin u1:ic port map (clk,clr,d,s1); u2:yima port map (s1,d,out2); end art3; 2、实体图
4、利用 MAXPLUS2 软件进行设计、编译、并在 FPGA 芯片上实现。
5、设计 14 位密码自己设定。比如:“00010000010100”。本 次采用本人学号 后三位二进制+两位:一班是 1,二班是 10,三班 是 11 作为设计密码。
3.2 原理
2、电路图分析 当 CLR 有效时,L10 的 Q 端为低电平,L9 的 Q 端为高电平,反相后
当高七位和低七位密码都正确时,OUT2 和 OUT2B 输出是高电平, 当 CLK2 产生一个上升沿时高电平通过 L11 是开锁端为有效信号。同样 低电平通过 L9 使 L9 的 Q 端为高电平,反相后为低电平,光报警不会启 动,声报警为高阻状态。当密码错误时,OUT2 和 OUT2B 输出是低电平, 当 CLK2 产生一个上升沿时,开锁信号为低电平,OUT2 使 L9 的 Q 端 为低电平,反相后为高电平,光报警会启动,声报警也会产生 。

概述 电子密码锁现在的技术相当成熟,商店的门、保险柜、汽
车等等,我们的周边随处可见。电 子 密 码 锁 是 一 种 通 过 密 码 输 入 来
控 制 电 路 或 是 芯 片 工 作 ,从 而 控 制 机 械 开 关 的 闭 合 ,完 成 开 锁 、闭 锁 任 务 的 电 子 产 品 。它 的 种 类 很 多 ,有 简 易 的 电 路 产 品 ,也 有 基 于 芯 片 的 性 价 比 较 高 的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。
elsif(clk0' event and clk0='1')then q<=d0; end if; end process; end art1; 2、电路图
3、仿真图
4.2 译码器 1、VHDL 源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity yima is port(a:in std_logic_vector(6 downto 0); b:in std_logic_vector(6 downto 0); out0:out std_logic); end yima; architecture are of yima is signal s3:std_logic_vector(13 downto 0);
end process; end are; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ic9 is port(clk:in std_logic; clr:in std_logic; d:in std_logic_vector(6 downto 0); out2:out std_logic); end ic9; architecture art3 of ic9 is component ic port(clk0:in std_logic; clr0:in std_logic; d0:in std_logic_vector(6 downto 0); q:out std_logic_vector(6 downto 0)); end component;
3.3 设计内容
1.设计简易 14 位数字密码模块 ic9a 模块,框图见下图,模块 IC9A 设计采用 VHDL 实现。
2.设计一个报警信号电路(频率:512 Hz)作为 CP 报警用,方 法不限。时钟 由实验箱 CP2 中的 4096HZ 提供。
3.在 ic9a 模块基础上设计 14 位数字密码锁的顶层电路。提高 部分(选作):增加修改密码功能。
相关文档
最新文档