八位二进制密码锁设计
八位二进制数字密码锁
EDA课程设计报告书题目:八位二进制数字密码锁姓名:***班级:12级通信1学号: **********目录一、摘要............................................................................................................ 错误!未定义书签。
二、引言............................................................................................................ 错误!未定义书签。
三.总体设计原理与内容 (2)1.设计要求 (2)2.设计方案 (2)四.单元电路设计 (3)五.软件设计 (5)六.系统测试 (6)(1)仿真波形 (6)(2)数据分析 (6)七、设计总结 (7)八、参考文献 (8)一、摘要:数字控制的电子密码锁已经广泛应用在办公室、公司、宾馆、小区住宅等场所。
EDA技术的应用引起了电子产品系统开发的革命性变革。
利用先进的EDA工具,基于硬件描述语言,可以进行系统级数字逻辑电路的设计。
本文简述了VHDL语言的功能及其特点,并以8位串行数字锁设计为例,介绍了在QUARTUS II 7.2开发软件下,利用VHDL硬件描述语言设计数字逻辑电路的过程和方法。
二、引言:电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新或配制钥匙里开锁密码。
一把电子锁可配制多把钥匙。
语音方面的广泛应用,使得具有语音播放的电子密码锁使用起来更加方便。
语音密码锁的体积小、保密性能好、使用方便,是用在保险箱、电话或是房门上不可少的部分。
数字集成技术和电子设计自动化(E1ectronic Design Automation,EDA)技术的发展迅速,数字系统设计的理论和方法也在相应地变化和发展着。
数电设计数字密码锁
目录一.课程名称 (2)二.设计目的和要求 (2)三.设计要求...............................3三.设计框图. (4)四.所用器件和原理 (5)4.1 器件表 (5)4.2 LS290N (5)4.3 发光二级管·························64.4 四线七段显示译码管··················74.5比较器 74LS85······················10五·各个功能仿真图仿真图················125.1脉冲输入装置······················125.2密码输入部分······················135.3 密码校验部分············165.4密码锁定部分..........19 六、出现的问题及调试 (20)七.参考文献 (21)八.设计体会 (22)(一).课程名称数字密码锁(二).设计目的1.使学生在学完了《数字电子技术》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。
数字密码锁课程设计报告
电子基础课程设计报告设计课题:数字密码校验设计专业班级:09级电子1班组长:韩忙学号:20090813007组员:冯少平学号:20090813005高腾学号:20090813006何滔学号:20090813007沈非迟学号:20090813029指导教师:罗英设计时间: 11年9月22日—11月30日目录一、摘要 (2)二、设计任务与要求 (2)三、方案设计与论证 (3)3.1 方案选择 (3)方案一:555集成电路构成的密码锁电路 (3)方案二:基于74LS1944的移位寄存电路设计 (4)方案三:基于74LS153的选择器密码电路 (4)方案四:基于8D锁存器74LS373的锁存密码电路 (5)3.2 方案选择论证 (6)四、单元电路设计、参数计算及元器件选择 (7)4.1总体框图设计 (7)4.2 功能模块部分 (9)4.2.1 脉冲电路 (9)4.2.2 密码保存及读取 (11)4.2.3 密码比较电路 (13)4.2.4 密码恢复与修改模块 (14)4.2.5 电路状态显示与报警电路 (16)4.2.6 时间控制电路 (17)4.2.7 错误次数计数电路 (19)4.2.8 锁定计时电路 (20)五、总原理图及元器件清单 (22)5.1 总原理图 (22)5.2 元件清单 (24)5.3实验仪器工具 (24)六、安装与调试 (25)七、性能测试与分析 (27)7.1 测试过程中得错误、分析及解决办法 (27)7.2 电路最终性能 (28)八、结论与心得 (29)九、参考文献 (30)一、摘要本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码组成。
本电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。
主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。
数电课程设计说明书八位二进制密码锁
目录摘要 .......................................................................................................................................................... - 1 - 1、二进制密码锁电路的设计方案........................................................................................................... - 2 -1.1设计任务与要求.......................................................................................................................... - 2 -1.2 设计思路与原理......................................................................................................................... - 2 -1.2.1设计思路.......................................................................................................................... - 2 -1.2.2电路工作原理.................................................................................................................. - 2 -1.3.1 74HC85芯片.................................................................................................................... - 3 -1.3.2数码管.............................................................................................................................. - 6 -1.4 Multisim仿真元器件的选用.................................................................................................... - 7 -表1.1 元器件选用列表:................................................................................................................. - 7 - 2、二进制密码锁电路的设计与调试....................................................................................................... - 7 -2.1电路Multisim整体仿真结果与调试........................................................................................ - 7 -2.1.1断电状态仿真.................................................................................................................. - 7 -2.1.2初始态仿真...................................................................................................................... - 8 -2.1.3密码错误状态仿真.......................................................................................................... - 8 -2.1.4密码正确状态仿真.......................................................................................................... - 9 -2.1.5修改密码仿真.................................................................................................................. - 9 -3、 Protel原理图的绘制...................................................................................................................... - 10 -4、总结与体会 ........................................................................................................................................ - 11 - 参考文献 .................................................................................................................................................. - 13 -二进制密码锁的设计摘要传统的机械锁由于构造简单,安全性能较差。
8位密码锁课程设计
8位密码锁课程设计一、课程目标知识目标:1. 学生能理解8位密码锁的基本原理,掌握二进制编码与密码锁之间的关系。
2. 学生能够运用数学逻辑知识,分析和设计简单的8位密码锁。
3. 学生了解密码学中的基础概念,如加密、解密等。
技能目标:1. 学生通过小组合作,能够动手搭建一个简易的8位密码锁模型,培养动手操作和团队协作能力。
2. 学生能够运用所学的逻辑思维,解决8位密码锁相关的问题,提高问题解决能力。
情感态度价值观目标:1. 学生通过本课程的学习,培养对信息科技的兴趣,激发创新意识和探索精神。
2. 学生在小组合作中,学会尊重他人意见,培养良好的沟通能力和团队协作精神。
3. 学生能够认识到信息安全的重要性,增强网络安全意识,树立正确的价值观。
本课程针对中学生设计,结合信息科技课程的教学要求,注重培养学生的实践操作能力和逻辑思维能力。
课程内容与教材紧密相关,通过实际操作和案例分析,让学生在掌握知识的同时,提高技能和情感态度价值观。
课程目标具体、可衡量,便于后续教学设计和评估。
二、教学内容1. 密码学基础知识:介绍密码学的基本概念,如加密、解密、密码等,结合教材相关章节,让学生了解信息安全的重要性。
2. 二进制编码原理:讲解二进制编码的基础知识,以及与8位密码锁的关联,使学生理解二进制在密码锁中的应用。
3. 8位密码锁原理:分析8位密码锁的工作原理,包括锁具结构、密码设置与识别等,结合教材内容,让学生掌握密码锁的基本构造。
4. 逻辑思维训练:通过案例分析和问题解决,训练学生运用逻辑思维解决8位密码锁相关问题。
5. 实践操作:组织学生分组,指导他们动手搭建简易的8位密码锁模型,培养动手能力和团队协作精神。
教学内容安排和进度:第一课时:密码学基础知识,二进制编码原理。
第二课时:8位密码锁原理,逻辑思维训练。
第三课时:实践操作,分组搭建8位密码锁模型。
教学内容与教材紧密相关,注重科学性和系统性,旨在帮助学生扎实掌握8位密码锁相关知识,提高实践操作能力。
毕业设计-基于AT89C51单片机的电子密码锁的设计
毕业设计(论文)题目:电子密码锁的设计学院:电气与信息工程学院专业:电子信息工程姓名:学号: ********* 指导老师:**完成时间: 2013年5月28日摘要随着经济社会发展,人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出。
传统的机械锁,由于其构造简单,安全性能低,无法满足人们的需要。
随着电子产品向智能化和微型化的不断发展,数字密码锁作为防盗卫士的作用显得尤为重要。
而单片机以其实用,功能强大,价格低廉等功能,已成为电子产品研制和开发中首选的控制器。
本文从经济实用的角度出发,阐述一个基于单片机的液晶显示电子密码锁的设计与实现。
系统采用ATMEL公司的AT89C51单片机作为系统核心,液晶显示器LCD1602作为输出设备显示系统提示信息,4*4矩阵键盘作为输入设备,CMOS串行E2PROM存储器AT24C02作为数据存储器,配合蜂鸣器、继电器等电路构成整个系统硬件;系统软件采用C语言编写。
设计的系统液晶显示,密码修改方便,具有报警、锁定等功能,使用便捷简单,符合住宅、办公用锁需求,具有一定的实用价值。
关键词:单片机,密码锁,AT89C51,LCD1602,AT24C02AbstractWith the development of our society and the i mprovement of people’s living standard, how to ensure the family security is becoming more and more important in particular. Traditional mechanical lock is unable to meet the need of us because of its simple structure and low security. Nowadays, electronic products become smarter and smaller, electronic password anti-theft lock plays a more important role as the security guards. The MCU with its practical, strong function, low price and other functions , has become the preferred controller in electronic product research and development.This article is written from the economic perspective, elaborates the design and implementation of a LCD electronic password anti-theft lock which is based on MCU. This system is composed of AT89C51 which is designed as the core of this system, LCD1602 as the output device to display the message of this system, 4 * 4 matrix keyboard as the input device, a CMOS serial E2PROM AT24C02 as the data storage, and a buzzer, relay circuit.The software of the system is written in C language. The system displays in a LCD, it can change password easily, and has the function of alarming, locking, and so on. This system has some practical value, and it is simple and easy to use, meets the demand of residential and the need of office lock.Key Words: MCU, Password-Lock, AT89C51, LCD1602, AT24C02目录摘要 (I)Abstract ............................................................................................................................. I I 目录 ................................................................................................................................. I II 1引言 . (1)1.1课题的背景和意义 (1)1.2课题的研究现状 (1)1.3课题研究内容 (2)2 数字密码锁总体设计 (3)2.1 系统方案论证 (3)2.1.1 采用数字电路的设计方案 (3)2.1.2 采用以单片机为核心设计方案 (4)2.2 基于单片机的数字密码锁的设计原理 (5)3 系统硬件设计 (6)3.1 主要元器件介绍 (6)3.1.1 主控芯片AT89C51的的介绍 (6)3.1.2 继电器的介绍 (9)3.1.3 存储芯片AT24C02的介绍 (10)3.1.4 LCD1602显示器的介绍 (10)3.1.5 矩阵键盘模块的介绍 (11)3.2 系统硬件部分 (12)3.2.1 系统电源部分 (12)3.2.2 键盘输入部分 (13)3.2.3 密码存储部分 (14)3.2.4 显示部分 (14)3.2.5 报警部分 (15)3.2.6开锁部分 (16)4 系统软件设计 (17)4.1 系统程序流程图 (17)4.1.1主程序流程图 (17)4.1.2 键功能程序流程图 (18)4.1.3 修改密码程序流程图 (19)4.1.4 开锁程序流程图 (20)4.2 子程序举例 (21)4.2.1 按键扫描子程序 (21)4.2.2 显示子程序 (22)4.2.3 开锁子程序 (22)4.3 系统软件调试及结果 (24)4.3.1 Proteus软件介绍 (24)4.3.2 系统软件调试 (25)4.3.3 仿真结果 (25)5 硬件系统制作及调试 (29)5.1焊接注意事项 (29)5.2硬件调试问题及解决办法 (30)5.3硬件调试效果 (31)总结 (34)致谢 (35)参考文献 (36)附录 (37)附录A 电路原理图 (37)附录B 系统总体程序 (38)1引言1.1课题的背景和意义随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤为突出,传统的机械锁由于其构造简单,被撬的事件屡见不鲜。
基于51单片机的8位电子密码锁
课程设计基于51单片机的8位电子密码锁/****************************************************8位电子密码锁程序****************************************************/#include<reg52.h>#define uint unsigned int#define uchar unsigned charsbit fmq=P3^0;sbit led1=P3^1;sbit led2=P3^2;sbit scl=P3^4;sbit sda=P3^5;uchar code wela[]={0xf7,0xfb,0xfd,0xfe,0xef,0xdf,0xbf,0x7f};uchar code dula[]={0x24,0xbd,0xe0,0xa8,0x39,0x2a,0x22,0xbc,0x20,0x28,0x24,0xfb,0xee,0x31,0Xef};uchar temp;uchar *play;uchar screa_ok=0;uchar at24c02[8]={0,0,0,0,0,0,0,0}; //存储源密码uchar atdata[8]={11,11,11,11,11,11,11,11}; //存储开锁密码uchar atdata1[8]={12,12,12,12,12,12,12,12}; //存储HHHHHHHH uchar atdata2[8]={11,11,11,11,11,11,11,11}; //存储修改密码uchar atdata3[8]={14,14,14,14,14,14,14,14}; //存储换密码时输入的密码void at24c02_init();void start();void stop();void respons0(); //应答void respons1() ;void write_add(uchar address,uchar date);uchar read_add(uchar address);void read_data(void);void write_data(void);uchar keyscan();void display();void keyprocess();void delay(){ ;;;;;;}void delayms(uint z){uint x,y;for(x=z;x>0;x--)for(y=110;y>0;y--);}void main(){// at24c02_init();play=atdata2;read_data();//write_data();while(1){display();keyprocess();}}void display(){uchar j;for(j=0;j<=7;j++){P1=0Xff;P0=dula[play[j]];P1=wela[j];P1=0Xff;}}uchar keyscan(){uchar num=0xff;P2=0xfe;temp=P2;temp=temp&0xf0;while(temp!=0xf0){delayms(5);temp=P2;temp=temp&0xf0;while(temp!=0xf0){temp=P2;switch(temp){case 0xee:num=1;break;case 0xde:num=2;break;case 0xbe:num=3;break;case 0x7e:num=4;break;}while(temp!=0xf0){temp=P2;temp=temp&0xf0;}}}P2=0xfd;temp=P2;temp=temp&0xf0;while(temp!=0xf0){delayms(5);temp=P2;temp=temp&0xf0;while(temp!=0xf0){temp=P2;switch(temp){case 0xed:num=5;break;case 0xdd:num=6;break;case 0xbd:num=7;break;case 0x7d:num=8;break;}while(temp!=0xf0){temp=P2;temp=temp&0xf0;}}}P2=0xfb;temp=P2;temp=temp&0xf0;while(temp!=0xf0){delayms(5);temp=P2;temp=temp&0xf0;while(temp!=0xf0){temp=P2;switch(temp){case 0xeb:num=9;break;case 0xdb:num=0;break;case 0xbb:num=11;break;case 0x7b:num=12;break;}while(temp!=0xf0){temp=P2;temp=temp&0xf0;}}}P2=0xf7;temp=P2;temp=temp&0xf0;while(temp!=0xf0){delayms(5);temp=P2;temp=temp&0xf0;while(temp!=0xf0){temp=P2;switch(temp){case 0xe7:num=13;break;case 0xd7:num=14;break;case 0xb7:num=15;break;case 0x77:num=16;break;}while(temp!=0xf0){temp=P2;temp=temp&0xf0;}}}return num;}void keyprocess(){uchar keydata=0;uchar i,error=0;static unsigned char flag=0;static unsigned char num=0;keydata=keyscan();if(keydata==0xff)return;switch(keydata){case 1:case 2:case 3:case 4:case 5:case 6:case 7:case 8:case 0:if(flag==1){if(num<8){atdata3[num]=13;atdata[num]=keydata;num++;}}elseif(flag==2){if(num<8){atdata1[num]=keydata;num++;}}else{if(num<8){atdata2[num]=13;atdata[num]=keydata;num++;}}break;case 11:if(flag==0&&num==8){num=0;for(i=0;i<8;i++){if(atdata[i]==at24c02[i]){;}elseerror=1;}}if(error==0){led1=0;delayms(1000);led1=1;}else{led2=0;fmq=0;delayms(500);led2=1;fmq=1;delayms(500);led2=0;fmq=0;delayms(500);led2=1;fmq=1;delayms(500);led2=0;fmq=0;delayms(500);led2=1;fmq=1;}error=0;for(i=0;i<8;i++){atdata[i]=11;atdata2[i]=11;}}elseif(flag==1&&num==8) { num=0;for(i=0;i<8;i++) {if(atdata[i]==at24c02[i]) ;else{error=1;}}if(error==0){flag=2;play=atdata1;}else{led2=0;fmq=0;delayms(500);led2=1;fmq=1;delayms(500);led2=0;fmq=0;delayms(500);led2=1;fmq=1;delayms(500);led2=0;fmq=0;delayms(500);led2=1;fmq=1;}error=0;for(i=0;i<8;i++){atdata[i]=11;atdata3[i]=14;}}break;case 12:if(num>0){num--;if(flag==1){atdata3[num]=14;}if(flag==2){atdata1[num]=12;}else{ atdata[num]=11;atdata2[num]=11;}}break;case 13:if(flag==0){play=atdata3;flag=1;}break;case 14:if(flag==2&&num==8){write_data();for(i=0;i<8;i++){at24c02[i]=atdata1[i];}led1=0;delayms(300);led1=1;delayms(300);led1=0;delayms(300);led1=1;delayms(300);led1=0;delayms(300);led1=1;for(i=0;i<8;i++){atdata2[i]=11;}play=atdata2;for(i=0;i<8;i++){atdata1[i]=12;}flag=0;num=0;}break;case 15:flag=0;play=atdata2;break;case 16:/* on=0;flag=0;num=0;play=atdata2;for(i=0;i<8;i++){atdata2[i]=11;}*/break;}}void start() //开始信号{sda=1;delay();scl=1;delay();sda=0;delay();}void stop() //停止{sda=0;delay();scl=1;delay();sda=1;delay();}void respons0() //应答{sda=0;delay();scl=1;delay();scl=0;delay();}void respons1() //应答{sda=1;delay();scl=1;delay();scl=0;delay();}void init(){sda=1;delay();scl=1;delay();}void write_byte(uchar date) {uchar i,temp;temp=date;for(i=0;i<8;i++){temp=temp<<1;scl=0;delay();sda=CY;delay();scl=1;delay();// scl=0;// delay();}scl=0;delay();sda=1;delay();}uchar read_byte(){uchar i,k;scl=0;delay();sda=1;delay();for(i=0;i<8;i++){scl=1;delay();k=(k<<1)|sda;scl=0;delay();}return k;}void write_add(uchar address,uchar date) {start();write_byte(0xa0);respons0();write_byte(address);respons0();write_byte(date);respons0();stop();}uchar read_add(uchar address) {uchar date;start();write_byte(0xa0);respons0();write_byte(address);respons0();start();write_byte(0xa1);respons0();date=read_byte();respons1();stop();return date;}void read_data(){uchar i;for(i=0;i<8;i++){delayms(5);at24c02[i]=read_add(i);delayms(5);}}void write_data(){uchar i;for(i=0;i<8;i++){delayms(5);write_add(i,atdata1[i]);delayms(5);}}。
数字密码锁
电子技术课程设计报告 --数字密码锁的设计与仿真上海大学机自学院自动化系自动化姓名:黄坤学号:13121156指导老师:李智华2015年6月26日数字密码锁的设计与仿真一、用途数字密码锁可以用来替代传统机械锁,更加有效地防止被破解。
更好的保护个人隐私与财产。
二、主要技术指标设计一个8位串行数字密码锁,该锁只有当依次收到的8位串行码与预定的二进制数码一致时,才能被打开。
三、设计步骤本数字密码锁利用了一个计数器和一个数据选择器组成一个序列信号发生器来产生一个8位串行信号,串行信号就为所设密码,并在序列信号发生器中设置8位二进制输入密码。
再利用三个双向移位寄存器把8位串行信号转换为8位并行信号。
然后利用集成比较器预设置开锁密码并与输入密码进行比较。
用绿灯亮代表密码正确并开锁,红灯代表密码不正确。
若输入密码与预设的开锁密码一致是绿灯亮,否则红灯亮。
四、电路路仿真及调试(一)串行信号发生器(输入密码电路)序列信号的构成是用一个八进制计数器74LS161的低三位,八选一数据选择器用74LS152和比较其组成。
当CP 信号连续不断地加到计数器上时,C B A Q Q Q 的状态将不断循环。
01234567D D D D D D D D 的状态就循环不断的依次出现在W 端。
通过对1D 到7D 的状态的改变来输入八位二进制密码。
通过仿真软件对电路进行仿真检测:当开关全开时即输入00000000时的输出当输入为00001111时,输出:(二)串行输入转换为并行输出电路转换电路由三个双向移位寄存器74LS194组成,具体过程为:串行信号由SR 输入,并行数据从两个移位寄存器的1U :A B C D Q Q Q Q ,2U :A B C D Q Q Q Q 输出,清0启动后,3U 中A Q =0,因此第一个CP 使74LS194完成预置操作,将并行输入数据0111111111111111分别依次送入123U U U 中的A B C D Q Q Q Q 。
八位电子密码锁的设计
1.3
本次设计主要是实现安全性高,成本低,耗能低等特点,最主要的是方便用户的使用。本次设计拟采用以51单片机为核心的控制方案,利用单片机的编程设计和众多的I/O端口,及其控制的准确性,不但能实现密码锁的基本功能,还能实现报警功能,遥控功能及光控功能等多种扩张功能。为以后产品的系统升级提供了方便。
P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。
P3口也可作为AT89C51的一些特殊功能口,如下表所示:
P3.0 RXD(串行输入口)
2.3系统软件的设计方案
系统的软件设计主要是根据电路图和所需要实现的功能进行编程。要实现软件的编程首先要了解51单片机的基本特点,才能正确的编程。在本设计中51单片机是主控芯片,只有程序编写成功后才可以实现对整个产品的控制。所以说系统的软件设计是整个设计的基础也是核心。
第2章
3.1主控芯片AT89C51单片机的简介
It can carry out the key information to register in the main on board initial attestation, the password information encrypt etc. Go to correspond by letter the principle according to the string between 51 machines, this is easy to encrypt and protect to the passwords information random. Adopt the numerical signal codes,not only can carry out many controls of the road information, raise the anti- interference that signal deliver, reduce the mistake action,but also the power consume is low,Respond quickly,the efficiency deliver is high, work stable credibility etc. The software design adoption the design thought from top to bottom, to make the system toward wear distribute type,turn to the direction development of small, strengthen the system and can expand the stability and circulate.Test the result enunciation, various functions of this system are already all request of this design.
8位数字密码锁课程设计报告
基于VDHL的8位数字密码锁设计摘要本文主要介绍运用VDHL技术设计数字密码锁的方法。
本设计采用自上而下的数字系统设计方法,将数字密码锁系统分解为若干子系统,并且进一步细划为若干模块,然后用硬件描述语言VDHL来设计这些模块,并且分别进行软件的仿真。
仿真结果表明:该数字密码锁能够效验8位十进制数密码,且有预置密码(即万能密码),显示输入密码,设置密码,修改密码,输入错误回删,关锁等功能。
该密码锁体积小,功耗低,操作简单,不怕掉电,维护和升级都十分方便,具有非常好的应用前景关键词:QUARTUSⅡ;VDHL; 数字密码锁8-bit digital-based VDHL lock design Abstract This paperdescribes the use of digital locks VHDL technical design approach. This design uses top-down design of digital system, the digital code lock system is divided into several subsystems, and further detailed planningfor a number of modules, then the hardware description language VDHL to design these modules and the simulation software, respectively. Simulation results show that: the digital code lock to efficacy 8-bit decimal code, and have preset password (that is, universal password), show password, set password, change password, enter the error back to the delete, lock and other functions. The lock small size, low power consumption, simple operation, not afraid of power-down, maintenance and upgrades are very convenient, has a very good prospect ofapplication.shui ./Key words: QUARTUS Ⅱ; VHDL; digital code lock目录引言 (6)1.EDA VHDL简介 (7)2.课程设计目的与容 (8)2.1课程设计目的 (8)2.2课程设计容 (8)2.3课程设计提示 (8)3.电子密码锁设计过程 (9)3.1设计规划 (9)4.VHDL源程序 (10)4.1密码锁&30秒计时模块 (11)4.2仿真后原理图 (12)4.3动态扫描模块 (13)4.4仿真后原理图 (14)5.系统仿真 (15)5.1连线完成后原理图 (15)5.2波形仿真 (15)5.3仿真结果 (16)6.心得体会 (19)7.参考文献 (20)CONTENTSIntroduction •••••••••••••••••••••••••••• 61.EDA VHDL Introduction ••••••••••••••••••••••• 72. The purpose and content of curriculum design ••••••••••• 82.1 Courses designed to •••••••••••••••••• 82.2 Curriculum content ••••••••••••••••••••••• 82.3 Course Design Tips ••••••••••••••••••••••• 83. Electronic code lock design process ••••••••••••••• 93.1 The design and planning •••••••••••••••• 94.VHDL source •••••••••••••••••••••••••••• 104.1 & 30 seconds lock timing module ••••••••••••••• 114.2 After the simulation schematic ••••••••••••••••• 124.3 Dynamic Scanning Module ••••••••••••••••••••• 13After 4.4 Simulation diagram •••••••••••••••••••• 145. System Simulation ••••••••••••••••••••••• 155.1 After the connection diagram ••••••••••••••••••• 155.2 Waveform Simulation ••••••••••••••••••••••• 155.3 Simulation results •••••••••••••••••••••••• 166. Feelings and experiences ••••••••••••••••••••• 197. References •••••••••••••••••••••••••••• 20引言随着人们生活水平的提高,如何实现家庭防盜这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其性髙,使用灵活性好,安全系数高,受到了广大用户的亲昵,电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提髙,而且避免了携带甚至丢失钥匙的麻烦。
一种机械式八位二进制密码锁演示仪
第39卷 第4期 高 师 理 科 学 刊 Vol. 39 No.4 2019年 4月 Journal of Science of Teachers′College and University Apr. 2019文章编号:1007-9831(2019)04-0046-03一种机械式八位二进制密码锁演示仪张存华,梁法库(齐齐哈尔大学 理学院,黑龙江 齐齐哈尔 161006)摘要:介绍了一种机械式的具有逻辑功能的密码锁,该密码锁是能直观应用与、或非、异或非等门电路功能的实验装置,它通过单刀双掷开关的开关闭合方向实现门电路的逻辑功能.16个单刀双掷开关构成了8个逻辑单元,构成每个逻辑单元的2个单刀双掷开关向同一方向闭合时,电路导通,否则电路断开.根据实验教学的需要,密码锁装置可以通过设置密码状态实现1~8位密码的破译过程.关键词:门电路;单刀双掷开关;密码锁中图分类号:O4-33文献标识码:A doi:10.3969/j.issn.1007-9831.2019.04.011A mechanical eight-bit binary password lock demonstration instrumentZHANG Cun-hua,LIANG Fa-ku(School of Science,Qiqihar University,Qiqihar 161006,China)Abstract:Introduces a kind of mechanical password lock with logical function.An experimental device that can be used intuitively to apply the functions of AND gate,NOR gate and XNOR gate.It realizes the logic function of the door circuit through the opening and closing the direction of the single knife double throw switch.There are eight logical units of 16 single-knife double throw switches.When these two single-knife double throw switches close in the same direction,the circuits is connected,otherwise,the circuit is disconnected.According to the needs of experimental teaching,the device can implement the deciphering process of 1~8 bit cipher by setting the password state.Key words:door circuit;single knife double throw switch;password lock逻辑门电路是数字电路中最基本的逻辑单元.所谓门就是一种开关,它能按照一定的条件来控制信号的通过或不通过.门电路的输入和输出之间存在一定的逻辑关系,所以门电路又称为逻辑门电路.基本逻辑关系有“与”、“或”、“非”3种.目前,实际应用的门电路都是集成电路.为了验证某一种门电路功能,首先根据集成电路的内部结构正确连接好元件的各个工作电压端.每个输入端都可以独立提供逻辑“0”和“1”2种状态,门电路电平输出端显示逻辑状态“0”或“1”.然后,通过分析门电路输入输出的逻辑关系,验证门电路的功能[1-4].1 实验装置及其制作本文介绍的是一种数字逻辑实验装置,特别是采用机械的方法直观演示和探究数字逻辑值的与、或非、异或非等门电路所用的实验装置[5-6].本实验装置(见图1)由16个单刀双掷开关A1~A8,B1~B8,电源E,收稿日期:2018-12-18作者简介:张存华(1969-),男,黑龙江齐齐哈尔人,副教授,硕士,从事大学物理实验研究.E-mail:zhangcunhua1969@第4期 张存华,等:一种机械式八位二进制密码锁演示仪 47 电源开关K ,电控锁LOCK 和面板构成.采用此结构,可以通过单刀双掷开关的开关闭合方向实现门电路的逻辑功能,具有结构简单、操作简便和直观等优点.16个单刀双掷开关构成的8个逻辑单元,A 1,B 1为第1个逻辑单元,A 2,B 2为第2个逻辑单元……A 8,B 8为第8个逻辑单元.规定:单刀双掷开关向图1中面板的a a ¢边方向闭合为“1”,向图1中面板的b b ¢边方向闭合为“0”;电路导通为“1”,电路断开为“0”.以第1个逻辑单元为例,A 1,B 1的2个单刀双掷开关同时为“1”或同时为“0”时,电路导通,即输入为“11”或“00”时,输出结果为“1”;否则,电路断开,即输入“01”或“10”时,输出结果为“0”.逻辑表达式为111Y A B =Å电路中,8个逻辑单元是串联关系,整个电路的逻辑关系为 12345678Y Y Y Y Y Y Y Y Y =×××××××只有当8个逻辑单元的值全部为“1”时,整个电路的输出为“1”,电路导通.2 实验方法实验前,密码设定者操作B 1,B 2,…,B 8 等8个单刀双掷开关设定密码为00000000-11111111之间的任意一个数值,如设为10110101(见图2).密码破译者操作A 1,A 2,…,A 8等8个单刀双掷开关破译密码,只有输入数值为10110101时(见图3),密码破译成功,电路接通,启动电控锁;输入密码为其它数值时,电路无法接通,密码破译失败,不能启动电控锁[7-10].根据实验教学的需要,本装置可以通过设置密码状态实现1~8位密码的破译过程.若将密码锁的前7位设置为已知,第8位密码设置为未知,例如:密码设置为11111111X,这里X 只有“0”和“1”2种状态,也就说,一位密码最多需要尝试2次即可成功破译密码.密码破译成功的概率为1/2.图2 密码设置状态48 高 师 理 科 学 刊 第39卷若将密码锁的前6位设置为已知,第7,8位密码设置为未知,如设置为1111111XX,这里XX 的只有“00”、“01”、“10”、“11”4种状态,也就说,2位密码最多需要尝试4次即可成功破译密码.密码破译成功的概率为41.以此类推,3,4,5,6,7,8位密码分别需要最多尝试8,16,32,64,128,256次.密码破译成功的概率为1/8~1/256.以4位密码为例,将密码设置为1011,给出真值表(见表1).4位密码有16种状态,只有输入密码为1011时,密码破译成功,开锁概率为1/16.实验过程中,实验者可以体验密码锁随着密码位数的增加破译难度增大的过程.同时,增加了实验的趣味性,达到寓教于乐的目的[11]. 3 结语本文的装置是一种机械式的具有逻辑功能的密码锁.能直观应用与、或非、异或非等门电路功能所用的实验装置,它是通过单刀双掷开关的开关闭合方向实现门电路的逻辑功能.根据实验教学的需要,本装置可以通过设置密码状态实现1~8位密码的破译过程.实验者可以从中体验二进制密码锁随着密码位数的增加破译难度增大的过程,同时增加了实验的趣味性,达到寓教于乐的目的.该装置具有结构简单、操作简便、直观且容易制作等优点.参考文献:[1]戴朱军,黄卫良.门电路实验电路的改进与拓展[J].实验教学与仪器,2018(5):29-30 [2]王勇.数字逻辑电路实验系统设计与开发[J].实验室研究与探索,2008,27(12):42-44 [3]赵巧妮.便携式简易数字电路实验板的设计[J].科技广场,2016(4):46-48 [4]周萍姑.简单逻辑门电路学习方法初探[J].理论与算法,2015(13):42-43 [5]王荷.浅谈与门电路的实用教学设计[J].天津职业院校联合学报,2018,20(3):74-77 [6] 张敏,景国凯,景彦君.电子电路创新型实验的开发研究[J].物理实验,2015(1):38-41 [7]印健健.按键式6位密码电子锁设计[J].电子制作,2014(11):18-19 [8]谢作如,池梦茹.设计一个简单的密码锁[J].中国信息技术教育,2016(9):64-65 [9] 张常友.一种智能防盗电子锁的设计研究[J].电子技术与软件工程,2015(4):128 [10]张洁.一种新型电子锁的设计[J].兰州文理学院学报:自然科学版,2018,32(5):82-86 [11] 何建强,袁训锋,陈垚.数字电路与逻辑设计基于慕课的翻转课堂教学模式研究[J].高师理科学刊,2018,38(4):94-97。
基于单片机八位数字密码锁设计报告
基于单片机八位数字密码锁设计报告1研究意义数字密码锁是利用数字密码来开启的锁具,其重复概率仅为十万分之一,有着很高的安全性;而旋芯式锁具使用不够安全。
通过对社会各阶层千余人的调查,百分之百的人对目前身上挂着的串串钥匙无可奈何。
但现在又没有一种锁具可摆脱钥匙的束缚。
都愿意一身轻松没有任何顾虑的出入家门,都愿意用上一种既安全方便又不用钥匙的锁具。
因此,“数字密码锁”产品的市场发展前景极为广阔。
在调查的千余人中有60%的人有丢失钥匙的经历,25%的人有把钥匙反锁在室内的,75%的人居室在三层以上的,36%的人把钥匙忘在工厂的,有8%的人是利用邻居的阳台、窗户跳跃进入自己家来打开被反锁的房门,90%的人或听或看新闻得知有因无法打开房门,而冒险跃窗发生事故非死既伤,给家庭造成麻烦。
精神和肉体的损伤是无法挽回的,为了解决上述各种数据给人们带来的各种烦恼,所以“数字密码锁”,使人们在无忧无虑的环境中生活。
2设计思路本设计电路控制部分由A T89S52单片机做主控制器,4×4矩阵键盘做密码输入控制,RT1602C做显示部分,密码输入提示音由蜂鸣器提示。
其主要功能有密码输入、密码修改、上锁和开锁。
开锁期间用户可通过修改键自行设置密码。
密码位数为6~8位。
此作品为八位密码。
开锁时先输入默认密码后,按下“确认”键检测,密码正确时开锁。
3设计流程及结构图4系统调试4.1电子密码锁启动显示电子密码锁启动时,系统提示:“I MPORT CIPHER”,即提示请输入密码,如图。
输入密码正确,系统提示:CONGRATULATE YOU PENING LOCK !即提示锁已经打开,如图。
4.2密码输入及功能选择用4行*4列小键盘输入8位密码(密码限于8位),如果密码输入正确,则显示CIPHER ERROR然后,输入密码后按“确认”键。
系统提示:CONGRATULATE YOU PENING LOCK !即提示锁已经打开。
EDA八位二进制密码锁
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity code isport( clk: in std_logic;--电路工作时的时钟信号clk1: in std_logic;--闹铃产生需要的时钟信号k: in std_logic;--高电平表示输入1led: out std_logic;--输入正确时亮led1: out std_logic;--输入错误时亮reset: in std_logic;-- 按下时复位want: in std_logic;--是否修改密码alarm: out std_logic;--输出闹铃声show: out std_logic_vector(3 downto 0));--提示作用end;architecture a of code issignal temp: std_logic_vector(3 downto 0);--输入一位加1signal code: std_logic_vector(7 downto 0);--储存密码signal getcode: std_logic_vector(7 downto 0);--储存修改后的密码signal counter: std_logic_vector(3 downto 0);--计数signal allow: std_logic;--是否允许修改密码signal ring:std_logic;--是否接通闹铃beginprocess(clk)beginif ring='1' thenalarm<=clk1;--闹铃接通elsealarm<='0';--闹铃截至end if;if reset='1' then--按下reset后,密码归为初始密码getcode<="00000000";--初始密码counter<="0000";--内部计数code<="11001000";--密码led<='0';led1<='0';allow<='0';elsif clk'event and clk='1' then--输入clk脉冲,则接收1位密码getcode<=getcode(6 downto 0)&k;--将这1位密码并入getcode中的最后一位if counter="1000" then--输入为8位数码时比较if code=getcode thenled<='1';--正确灯亮led1<='0';ring<='0';allow<='1';--允许修改密码elsif allow='1' and want='1' then--如果允许输入且想输入code<=getcode;--输入新密码led<='0';led1<='0';elseallow<='0';led<='0';led1<='1';--错误灯亮ring<='1';--闹铃响end if;counter<="0000";--重新计数elsecounter<=counter+1; --累加temp<=temp+1;--为防止泄露密码,特别设置end if;end if;show <= temp;end process;end。
8位二进制数字密码锁系统设计(赵俊峰)
8位二进制数字密码锁系统设计(赵俊峰)8位二进制数字密码锁系统设计外文文献翻译(译成中文3000字左右):1.Digital Circuit IntroductionDigital circuit definition:Completes with the digital signal to the digital quantity carries on the arithmetic operation and the logic operation electric circuit is called the digital circuit, or number system. Because it has the logic operation and the logical processing function, therefore calls then umeral logic circuit. Numeral logic circuit classification (according to function minute):1st, combinatory logic electric circuitThe abbreviation combination circuit, it becomes by the most basic logical gate electric circuit combination. The character is tic is: Output value only and then input value related, namely output only by then input value decision. The electric circuit has not remembered the function, the output condition changes along with the input condition change, is similar to the resistance electric circuit, like the accumulator, the decoder, the encoder, the data selector and so on all belong to this kind.2nd, succession logic circuitThe abbreviation sequence circuit, it is adds on the feed back logic return route by the most basic logical gate electric circuit (to output the electric circuit which input) or the component combination becomes, lies in the sequence circuit with the combination circuit essence difference to have the memory function. The sequence circuit characteristic is: The output not only was decided by then input value, moreover also the and circuit past condition concerned. It is similar to containing thestored energy part the inductance or the electric capacity electric circuit, like electric circuit and so on trigger, latch, counter, shift register, reservoir all is the sequence circuit typical component.Digital circuit characteristic:1st, simultaneously has the arithmetic operation and the logic operation functionThe digital circuit is take the binary system logic algebra as mathematics foundation, the use binary numeral signal, both can carryon the arithmetic operation and to be able conveniently to carry on the logic operation (with, or, non-, judgment, comparison, processing and so on), therefore extremely suits to application and so on operation, comparison, memory, transmission, control, decision-making.2nd, realization simple, the system is reliableBy binary system underlie numeral logic circuit, simple reliable, the accuracy is high.3rd, integration rate high, the function realization is easyIntegration rate high, volume small, the power loss is low is one of digital circuit prominent merits. Electric circuit design, service, maintenance nimble convenient, along with the integrated circuit technology high speed development, the numeral logic circuit integration rate is more and more high, integrated circuit block function along with small scale integration electric circuit (SSI),center scale integrated circuit (MSI), large scale integrated circuit(LSI), ultra large scale integrated circuit (VLSI)the development also from the part level, the component level, the part level, the board card level risesto the system level. The electric circuit design composition only must use some standards theintegrated circuit block unit connection to become. Also may use the programmable foreword logic array electric circuit regarding the non- standard special electric circuit, through programming method realization free logic function.Digital circuit application:Digital circuit and numeral electronic technology widespread application to science and technology each domain and so on television, radar, correspondence, electronic accounting machine, automatic control, astronautics.2.Digital code lock System Status and Development TrendRecent years, along with reform and open policy thorough development, electronic appliance's swift development. People's living standard had the very big enhancement. Each kind of upscale electrical appliances product and the valuables have for many families. However some unlawful elements are also more and more. This sees majority of person security consciousness also insufficient. Creates steals the phenomenon to be common. Therefore, more and more resident families worried to the property security problem. the alarm apparatus had been solving many problems by now for the people. But in the market alarm apparatus majority of use in some big company fiscal institution. The price is soaring, generally the people accept with difficulty. If redesigns and produces one kind moderately-priced, the performance keen reliable burglar alarm, will certainly plays a more effective role in security and the guarantee property security aspect. As the electronic code lock is a password to enter the control circuits, or chips, so as to control mechanical switches closed, the completion of the lock, closed the task of electronic products. It's a lot of species, there is a simple circuit products as well as chip-based products more cost-effective. Now a widerapplication of electronic locks is at the core chip.The electronic technology has obtained the rapid development, under its impetus, the electronic products nearly seeped society's each domain now, powerfully impelled in the social product development and the social becoming an information based society degree enhancement, simultaneously also is makes the modern electronic products performance further to enhance, the product renewal rhythm more and more is also quick. First the EDA technology after enters for the 21st century, obtained the very big development, its basic characteristic uses the higher order language description, has System the simulation and the synthesizing capacity. The VHDL hardware description language is designs the source document to be possible to use is similar with the C language written form, and uses the structural design method. Along with the people living standard enhancement, the password lock took the family security bodyguard's function is day by day important, it compared to has some unique superiority with the ordinary mechanical phase-lock, for example: Secret, the security performance is good, may not use the key, so long as remembers the password then unlock.The EDA technique develops trend: The EDA technique is after get into 21 centuries, because of more large-scale FPGA and continuously release of the cave m spare part are really imitate with design the both side support a standard hardware description, the function strong EDA software of the language continuously renews, increment, make the electronics EDA's technique get a larger development. The electronics technique is all-directions to bring into EDA realm, the EDA make electronics the boundary of each academics of realm be getting more misty, more with each other in order to forgive, the outstanding performance is at following few aspects: Makeelectronics design the result can definitely express to make possible with confirmation by independent intelligent property right; Design standard unit according to the ASIC of EDA tool have already covered large-scale electronics system and the IP pit mold piece; The soft hardware IP pit gets further confirmation in industry realm, technique realm and the design applied realm of electronics profession; The SoC efficiently low cost design technical maturity. Along with the semi-conductor technique, integrated technique and the calculator technical fast fierce development, design method and design means of electronics system all took place very big variety. Can say electronics EDA's technique's is an electronics design is a revolution of the realm. The design method of traditional” fix function integration piece ten on-lines" just and gradually with draws history stage, but is become modern main current of design of the electronics system according to the design method of chip. Be Gao Deng3 college's understanding and climbing to hold this advanced technique concerning the professional student and the large electronics engineer be certainly to be necessarily going, this not only is the demand of efficiency of the exaltation design, more that time generation need for develop, only climbed to hold the EDA technique just includes the competition that the ability participates the industrial market of the world electronics, then can exist with development. Along with the progress of science and technique revolution of the realm and electronics industryfield of the electronics design, also in the meantime to electricity course of teaching and research put forward more deeply higher request. Especially the EDA technique hasn't make widely available in the our country and control is this all new technique with universality, will to our country the electronics technical development have profound meaning.3.Electronic Lock System of Non-contact IC CardBased on the research of RS485 and TCP/IP Communication Protocol, the paper introduces an Electronic Lock System of Non-contact IC card and puts forwards the solution of the whole system. This system adopts distributed architecture and is combined with the centralized and unified management. It can be classified into three aspects: management center server, user building PC and Electronic Cipher Locks. The paper emphases on the general principle and the design of hardware and software of this kind of Electronic Cipher Lock system, which takes Micro-controller STC89C58RD+ chip as core and Philips ’ MFRC530 for RF base station. Comp ared with the traditional Electronic Lock system, this system has significant advantages. The results prove the feasibility of the scheme and show that the system is stable and has a good real-time performance.4. Electronic Cipher Locks by the Use of AT89C2051 MCUAs electronic products are developing towards intelligence and minimization, micro control unit(MCU) have become the first choice for controllers in the development of electronic products. In order to expand the applications of MCU in to household appliances, a new designing method of electronic cipher locks by the use of AT89C2051 MCU is introduced in this paper.It shows that thecipher lock is characterized by its low cost and high degreeof safety and practicality. Besides, it works well as a residence lock and has great potential for commercial development.5. Giving-alarm Electronic Password LockThis article introduces one kind of giving-alarm electronic password lock, using unidirectional thyristor as the storage cell. The digital key of this kind of lock is composed of 10 lightly touchedswitch. 5 of the codes open the lock, the other 5 codes are used to alarm. If the password is right, so long as pressing down the 5 digital keys at one time or according to the order, the electronic lock will be open quickly. If the password is wrong, pressing the giving-alarm key will bring a 30s alarm:“to catch the thief”.6. the Infrared Long-distance Remote Control Which the Numerical Ciphers LockSpecialized numerical ciphers lock the infrared long-distance remote control is one kind of quite advanced password switch, this article designs one through the telephone through the infrared remote control realization opposite party opening which locks with the equipment password, the user use handset dials in his/her family's fixed telephone, to the telephone number which dials carries on the recognition by the monolithic integrated circuit realization, with confirmed whether its number for does unlock the telephone number, if is master's telephone number, the monolithic integrated circuit sends out the signal control infrared launcher, the realization long-distance remote control unblanking.This article elaborated the infrared remote control basic principle and has designed the corresponding transmission and the accepting circuit, again uses correlation chip NE555, CX20106,HT9030 the function characteristic, the composition auxiliary circuit, forms the hardware diagram; Second step then key conducts the research to at89C51 monolithic integrated circuit, the cost design software designs and draws the flow chart. Finally this design essential duty is take AT89C51 as a core, various functions module organic union. Through the monolithic integrated circuit control, realizes the function which each separation component cannot complete. Namely finally realizes the infrared long-distance remote control which the numerical ciphers lock.1.数字电路简介数字电路定义:用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。
8位数字密码锁
电子技术课程设计报告书课题名称 8位数字密码锁姓 名 ***** 学 号 ******* 院、系、部 ******* 专 业 电子信息工程指导教师********年**月 **日※※※※※※※※※ ※※ ※※※※※※※※※※※※※****级电子信息工程专业电子技术课程设计8位数字密码锁一、设计目的(1)熟悉模拟电路的应用与集成电路的引脚排列。
(2)把握经常使用芯片的逻辑功能及利用方式。
(3)熟悉电路仿真软件Multisim 利用。
(4)了解数字密码锁的组成及工作原理。
(5)熟悉数字密码锁的设计。
二、设计思路(1)设计系统复位电路。
(2)设计密码锁电路和比较电路。
(3)设计计数电路及其反馈回路。
(4)设计时序操纵电路和改密电路。
(5)设计数码管电路和指示灯电路。
3、设计进程方案论证图 8位数字密码锁工作原理框图系统的操纵由系统复位电路开始,2次输入原始密码后,数字密码锁被打开;通过系统输入电路、改密电路、锁存电路、能够对密码进行更改和贮存;通过对密码更改次数限制电路(计数电路),能够实现对系统输入电路的锁定;各电路的输入都可在数码管显示或指示灯观看取得;通过其他电路的交织和反馈,整个系统能够整合设计为以上形式。
3.2元器件的选择及参数,各芯片介绍及作用数字显示器数字显示器是一种经常使用的集成显示译码器,在数字系统中,它能直观地将数字显示出来,方便人们直接读取数字及处置结果。
因此,数字显示器电路是许多数字设备系统不可缺少的部份。
数字显示器是驱动显示器件(如荧光数码管、液晶数码管等等)的核心部件,它能够将输入代码转换成相应数字,并在数码显示管上显示出来。
该数码管由七段组成数字字形,既有其相对应的七段数字显示译码器数字。
例如,当该电路的输出为高电平有效时,既输出为1时,对应字段点亮;输出为0时对应字段熄灭。
当其各数段相应地为1时,该译码器能够驱动七段显示器显示O—15总共16个数字的字形。
输入L3、L二、L一、L0接收4位二进制数码,输出Q、Q b、Q c、Q d、Q e、Q f和Q g别离驱动七段显示器a的a、b、c、d、e、f和g段。
基于单片机的8位密码锁
课程设计报告题目 8位数码管显示的密码锁课程名称单片机原理及接口技术院部名称机电工程专业自动化班级 09自动化学生姓名学号课程设计地点C314课程设计学时一周指导教师金陵科技学院教务处制一:概述单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。
概括的讲:一块芯片就成了一台计算机。
它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。
同时,学习使用单片机了解计算机原理与结构的最佳选择。
随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。
简易电子密码锁是由89S51单片机来实现密码锁的功能的电路。
利用单片机的相关引脚,通过相关的程序,将独立式按键,移位寄存器等元器件进行组合,来达到预期的效果。
简易电子密码锁主要由89S51单片机,矩阵键盘,LED显示器,锁存器(74HC573),74HC138译码器,电阻等组成。
二:硬件和软件介绍1:89S51单片机单片机自1976年由Intel公司推出MCS-48开始,迄今已有三十多年了。
由于单片机集成度高、功能强、可靠性高、体积小、功耗低、使用方便、价格低廉等一系列优点,目前已经渗入到人们工作和生活的方方面面,几乎“无处不在,无所不为”。
单片机的应用领域已从面向工业控制、通讯、交通、智能仪表等迅速发展到家用消费产品、办公自动化、汽车电子、PC机外围以及网络通讯等广大领域,对各个行业的技术改造和产品更新换代起着重要的推动作用。
单片机有两种基本结构形式:一种是在通用微型计算机中广泛采用的,将程序存储器和数据存储器合用一个存储器空间的结构,称为普林斯顿结构。
另一种是将程序存储器和数据存储器截然分开,分别寻址的结构,一般需要较大的程序存储器。
目前的单片机以采用程序存储器和数据存储器截然分开的结构为多。
上海大学-八位串行密码锁的设计
电子技术课程设计报告——八位串行密码锁的设计:上海大学机自学院自动化系电气工程及其自动化专业{姓名:学号:指导老师:徐美华2014年6月26日一、设计目的与要求#电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而达到控制开关闭和,完成开锁、闭锁任务的电子产品。
电子密码锁的性能与安全性已经大大地超过了机械锁。
主要特点如下:1、保密性好,编码量多,远远大于弹子锁,随即开锁成功率几乎为零。
2、密码可变,可以防止密码被盗。
3、误码输入保护,多次错误后,可自行报警。
4、操作简单,一学即会。
5、干扰码功能,在正确密码前可以输入任意码。
6、安保功能。
7、等等[本次课程设计的目标要求是:设计电子锁,满足:1、开锁密码为八位串行二进制码。
2、开锁输入码与设定密码一致时,锁被打开;不一致时,报警。
3、设置复位开关,所有的时间数据用数码管显示出来。
二、设计框图由密码锁的总体要求可以得到如下的设计框图:1.,2.单稳态触发器由555定时器组成,可以产生暂稳态脉冲,通过开关的闭合可以得到我们所需要的脉冲。
3. 用由74LS164组成的移位寄存器向电路串行输入密码。
4. 用由两片74LS85构成的比较器来预置和比较密码。
5. 用由74LS192组成的计数器来记录已输入密码的个数。
6. 多谐振荡器由555定时器组成,用来实现周期为1S 的脉冲信号,配合计时。
7. 用由两片74LS192组成的减法计时器来限制输入密码的时间。
三、所用各器件及其原理!1、555定时器定时器555是一种多功能集成电路,只要在外部接上几个电阻电容,就可以组成施密特触发器、单稳态触发器和多谐振荡器。
定时器555内部结构框图、符号图和功能表如下。
555定时器有二个比较器C1和C2,有一个RS 触发器,R 和S 高电平有效。
三极管VT1对清零起跟随作用,起缓冲作用。
三极管VT2是放电管,将对外电路的元件提供放电通路。
比较器的输入端有一个由三个5kW 电阻组成的分$移位寄存器比较电路计数电路计时电路多谐振荡器开锁报警压器,由此可以获得和两个分压值,一般称为阈值。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
长沙学院课程设计说明书题目八位二进制密码锁的设计系(部) 电子与通信工程系专业(班级) 光电信息工程02班姓名 ***学号 *******指导教师 ****起止日期 2012.6.11 至2012.6.15数字电子技术课程设计任务书(18)系(部):电子与通信工程系专业:光电信息工程专业指导教师:课题名称二进制密码锁的设计设计内容及要求(1)课题内容:①.设计一个8位并行二进制密码锁,密码内容可通过开关进行设置。
②.设置8位输入按键,当不进行任何按键时,数码管显示“0”;当按键值与预置的密码完全符合时,数码管显示“R”,开锁状态LED灯亮;当按键值与预置密码不符合时,数码管显示“E”,开锁状态LED灯灭。
③.系统可设置一个使能输入端,当使能端为高电平时,密码锁能正常工作,反之,密码锁按键无效,数码管灭, 开锁状态LED灯灭。
(2)主要任务:完成该系统的硬件设计,调试好后并能通过仿真,最后就课程设计本身提交一篇课程设计说明书。
设计工作量1、系统整体设计;2、系统设计及仿真3、在Multisim或同类型电路设计软件中进行仿真并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,参考文献、设计总结等。
进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料,设计方案论证第2天系统设计第3天系统设计及仿真第4天画原理图和PCB的制作第5天系统验收,编写设计说明书教研室意见年月日系(部)主管领导意见年月日长沙学院课程设计鉴定表姓名学号专业光电信息工程专业班级设计题目8为二进制密码锁的制作指导教师指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名:日期:系(部)意见:系主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录摘要 (4)1.二进制密码锁设计原理、总体结构框图 (5)1.1设计的思路与原理 (5)1.2 设计的总体结构框图 (5)2.二进制密码锁电路的设计与调试 (6)2.1密码锁总电路图的设计 (6)2.2电路的分析 (7)2.3电路整体效果图仿真与调试 (8)2.4 Protel与PCB电路图 (10)3.设计时注意事项与元器件清单 (11)3.1注意事项 (11)3.2.Multisim仿真元器件清单 (12)4. 总结体会 (12)参考文献 (13)摘要这一学期刚学习了数电课程,为进一步掌握数电的基本理论及实验调试技术,因此,我们进行了这次课程设计,我选择的课题是二进制密码锁的设计。
首先采用逻辑门电路设计密码锁,改密码锁具有密码预置功能,保密性强,如果密码错误,系统中的数码管就会显示“E”,并且LED指示灯会熄灭。
如今,随着人们的生活水平不断提高,对于防盗技术也越来越先进了,因此,我的这个课题也是非常贴近生活,其实我们生活中的密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁,闭锁任务的电子产品。
它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。
现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的。
其性能和安全性已大大超过了机械锁。
本次课程设计设计一个8位并行二进制密码锁,密码内容可通过开关进行设置并且设置8位输入按键,当不进行任何按键时,数码管显示“0”;当按键值与预置的密码完全符合时,数码管显示“R”,开锁状态LED灯亮;当按键值与预置密码不符合时,数码管显示“E”,开锁状态LED灯灭。
因此,在实行时我采用了一个74HC573的锁存器和异或集成芯片进行输出的比较。
同时系统可设置一个使能输入端,当使能端为高电平时,密码锁能正常工作,反之,密码锁按键无效,数码管灭, 开锁状态LED灯灭。
利用仿真软件Multisim画出电路图进行仿真,最后分析出现误差的原因以及影响因素。
关键字:二进制密码锁,与门集成芯片,非门集成芯片、或门集成芯片、数码管,74HC573锁存器;1.二进制密码锁设计原理、总体结构框图1.1设计的思路与原理打开一把密码锁的关键在于正确的密码输入,本设计提供8个双掷开关作为密码的输入开关,另外由于设计的要求能改编预置密码,所以在原理电路中增加了8个修改密码的双掷开关用来实现修改预置密码,其中,密码的锁存用74HC573。
首先,设计的电路必须能先将预知的密码设置好,然后,输入正确的密码,如果密码与预置的密码一致,这电路中的数码管就会显示“R ”,且LDE 发光,反之,数码管显示“E ”LED 熄灭。
同时电路中还应该有个使能开关,当使能开关打到低电平时,数码管和LED 都会熄灭,当达到高电平是电路能正常工作。
数码管显示“R ”“E ”由于与门、非门和单向导通的二极管实现。
然后,用一个开关控制与门的公共端显示“0”,与密码错误。
1.2 设计的总体结构框图判断密码 正确输出高电平密码正确输入开关电路密码 密码修改电路74HC573锁存密码异或门和与非门电路的判断 LED 指示灯发光 单向导通的二极管 与门和非门的判断 数码管显示“R ”2.二进制密码锁电路的设计调试2.1密码锁总电路图的设计(1).芯片介绍74HC573译码器芯片74HC573的引脚图74HC573集成锁存器74HC573可以进行密码的锁存74HC573还有使能端可以进行控制(2).二进制密码锁设计总电路图:图1总电路图2.2电路的分析(1).密码设置与修改首先,根据任务书的要求,设计一个8位并行二进制密码锁,密码内容可通过下图左边的开关进行设置,由上述总电路图左边的8个开关是输入密码开关,右边的8个开关是预置密码开关,再由与非门控制密码的正确与否输出高低电平。
当输入密码与预置密码一致,通过与非门的判断输出高电平所以LED指示灯发光。
(2).数码管的正确显示设计要求是设置8位输入按键,当不进行任何按键时,数码管显示“0”;当按键值与预置的密码完全符合时,数码管显示“R”,开锁状态LED灯亮;当按键值与预置密码不符合时,数码管显示“E”,开锁状态LED灯灭,由SW12控制一个与门的公共端,当开关向下打时,与门的输出由另外两个输入端决定,再由二极管的单向导通性可知,当密码正确时,就可以达到数码管a b c d e f g 7个发光二极管的亮与灭。
由设计思路可知各个单向导通的二极管的作用。
例如:当密码输入正确,如图所示如图密码正确数码管显示“R”,LED发光,则U6A输出的是低电平,U6B输出的是高电平,则数码管的a b c e f g 六个发光二极管发光,其中SW12可以控制0与A或者E的切换,所以数码管显示“R”。
(3).使能开关的控制系统可设置一个使能输入端,当使能端为高电平时,密码锁能正常工作,反之,密码锁按键无效,数码管灭, 开锁状态LED灯灭。
其中开关SW10就是使能开关。
2.3电路整体效果图仿真与调试不进行任何按键时输入密码正确时数码管显示“R”,LED指示灯发光,其中SW12打在下面输入密码错误时数码管显示“E”,LED指示灯熄灭,其中SW12打在下面2.4 Protel与PCB电路图1.protel电路图利用protel软件,根据multisim仿真电路图绘制出protel电路图,此电路图与原电路图一致2.PCB电路板绘制出protel电路图,再在protel软件中生成PCB电路板。
3.设计时注意事项与元器件清单3.1注意事项(1)在设计电路时,首先要能清楚自己课题任务书的内容与原理,不能盲目的查找资料。
(2)与门和非门的使用和二极管的合理使用是数码管显示的核心,在multisim中设计电路时连接电路一定要认真仔细,防止将芯片的引脚接错。
(3)在电路仿真时对于实验结果应该认真分析与思考,使电路结果达到做好效果,对于多次出现错误的结果要冷静沉着应对。
3.2.Multisim仿真元器件清单器件数量74HC573 174HC86 174HC04 174HC08 174HC4078 1单刀双掷开关81K欧电阻 1200欧电阻 2数码管 1LED发光二极管 14.总结体会一周的课程设计结束了,我的任务是设计一个八位密码的二进制密码锁,在设计的过程中在密码锁部分遇到了一些困难,刚开始我以为仅用与非门就可以设计密码锁这部分,后来问老师后才知道仅用与非门根本不能改密码,密码早已是一个确定的值,最后是参考了同组同学的电路,听他的讲解懂了后才把密码锁部分弄出来。
在数字显示部分遇到了许多困难,我试用了很多的方法都没弄出来,与非门、与门、或非门都用了也没做出来,仅把显示E、R的部分弄出来了,0的显示怎么也不会了,后来向求助老师,跟同组同学讨论后,借鉴了同组同学的设计思路才把显示部分做出来。
虽然这次课程设计只有一周,但是我的收获却很多,已经知道了一点设计电路时的基本思路跟方法,还有一些芯片的运用,加深了课本中所学到的知识,实现了理论与实际相结合。
设计过程中我查阅了许多课外资料,拓展课外知识,有自己独立思考设计电路,虽然做错了,但是在老师的细心指导和不厌其烦的讲解下,在同学的帮助下终于把这次课程设计的项目弄懂并完成,非常感谢老师的无私教诲和同学的帮助。
参考文献[1] 康华光主编.《电子技术基础》(数字部分)(第五版).北京:高教出版社, 2005[2] 彭介华,《电子技术课程设计指导》(数字部分)北京:高等教育出版社,2005;[3] 高吉祥,《电子技术基础实验与课程设计》,北京:电子工业出版社,2005;。