vga彩条显示
VGA显示器彩色信号发生器电路
EDA课程设计报告—VGA显示器彩色信号发生器电路班级:08通信A班姓名:崔儒学号: 0815231013指导老师:凌朝东摘要:VGA(Video Graphic Array)接口是与显示器进行通信的唯一接口。
通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最后可以实现对VGA显示器的控制。
本设计与传统的图像显示方法不同,为节省储存空间在设计中采用R、G、B三种基色利用FPGA芯片和EDA设计方法,可以显示8种颜色,设计出针对性强的VGA显示控制器,而且不需要依靠计算机,既能够大大降低成本,又可以满足生产实践中不断变化的需要,同时产品的升级换代也方便迅速。
本设计采用Altera公司的EDA软件0uartus II,并以Cylone 系列FPGA器件为硬件平台进行系统设计。
关键词:VGA;FPGA:VHDL;Ouartus II目录1、系统设计 (4)2、单元电路设计 (6)3、软件设计 (8)4、系统测试 (11)5、小结 (12)6、参考文献 (12)7、附录 (13)一、系统设计1、设计要求:VGA显示器彩色信号发生器电路,能在VGA显示器上显示8种颜色的图形(条型、方块型)。
2、系统设计方案:方案一:采用传统的图像显示方法,将图像数据传回电脑在传输过程中通过CPU对图像数据信号进行控制,通过显示器显示出来。
方案二:采用FPGA和EDA的设计方法,通过FPGA器件控制RGB信号、行同步信号、场同步信号等信号,并参照有关标准,最终可以实现对VGA 的控制。
方案一为传统的图像显示方法,在传输过程中需要CPU不断对图像数据信号进行控制,这样就造成了CPU的浪费,同时系统还需要依赖电脑,降低了系统的灵活性。
方案二是利用可编程器件FPGA和EDA的设计方法,可以根据设计的需要设计出针对性比较强的VGA显示器,而且不需要依靠计算机,大大降低了成本提高了系统的灵活性。
综合上诉分析本设计中采用方案二。
VGA视频信号详解_maik
VGA视频信号详解最近做了一些关于视频的工作,稍微研究了一下V4L2和硬件上的视频知识,其中包括了VGA、component、PAL等信号。
在这里总结一下关于VGA信号波形方面的知识。
在学习VGA的视频输出的时候,很容易就可以从网上找到相关的引脚定义:1 红色视频信号(Red, 75 ohm, 0.7 V p-p)2 绿色视频信号(Green, 75 ohm, 0.7 V p-p)3 蓝色视频信号(Blue, 75 ohm, 0.7 V p-p)4显示器标识信号#2(Monitor ID Bit 2)5地线(Gnd)6红色视频信号地线(Red Gnd)7绿色视频信号地线(Green Gnd)8蓝色视频信号地线(Blue Gnd)9未连接(No Pin)10 同步信号地线(Sync Gnd)11 显示器标识信号#0(Monitor ID Bit 0)12 显示器标识信号#1/SDA(Monitor ID Bit 1 or SDA)13 水平/复合同步信号(Horizontal Sync or Composite Sync)14 垂直同步信号(Vertical Sync)15 显示器标识信号#3/SCL(Monitor ID Bit 3 or SCL)根据上面的定义,可以看出VGA其实就是将我们平常的3基色数据放到了三根模拟信号线中传输。
但是我以前错误地认为水平和垂直同步信号是必须的,但是在这次硬件设计的时候发现,一个TI的公板上的VGA只有3基色信号和地,并且在软件调试的时候可以正常的让液晶显示器显示高清画面,所以上网搜索了一下,才发现了VGA的多种同步方式。
VGA接口根据同步信号的不同可分为下列三种:1.3线同步(绿同步)2.4线同步(3基色信号+复合同步信号)3.5线同步(3基色信号+场行同步信号)首先我先找到了网上较为详细的一篇英文资料:VGA Signal information,大家可以先看看。
VGA彩条信号显示控制器设计解析
编号:EDA技术实训实训(论文)说明书题目:VGA彩条信号显示控制器设计院(系):信息与通信学院专业:电子信息工程学生姓名:***学号: ********** 指导教师:付强、陈小毛、归发第2013 年1 月4 日本设计采用EDA技术,通过FPGA芯片实现了实现VGA彩条信号的显示的设计,本文采用VHDL 硬件描述语言描述VGA彩条信号的显示电路,完成对电路的功能仿真。
通过按键来实现横彩条、竖彩条、棋盘式方格图案的选择。
显示图像分辨率为640×480,刷新率为60Hz。
与传统的设计方式相比,本设计由于采用了FPGA芯片来实现,它将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的集成度和可靠性。
关键词:电子设计自动化、可编程门阵列、硬件描述语言、状态机This design used the EDA technology, through the FPGA chip realized the realization of the signal that striped VGA is adopted in this paper, the design of the VHDL hardware description language describe VGA striped signal display circuit and the completion of the function of the circuit simulation. By pressing buttons horizontal striped, perpendicular striped, chessboard type pane design choice. Display image resolution for 640 x 480, refresh rate of 60 Hz ac. Compared with the traditional design methods, the design with FPGA chip to achieve, it will be a lot of circuit function integration to a chip, and can be by the user to design logical function, improve the system integrity and reliability.Keywords:Electronic Design Automatic technology,Flied Programmable,Gate Array, Hardware Description Language, State Machine1. 绪论 (1)1.1 EDA技术的发展 (1)1.2 课程设计的任务 (1)2. 系统设计 (2)2.1 系统的工作原理 (2)2.2 VGA显示原理 (2)2.3 键盘驱动 (4)3 系统设计 (4)3.1图像信号产生模块的设计 (4)3.2 视频输出接口电路部分设计 (5)3.3 模式控制与显示部分设计 (6)3.4 VGA显示模块 (6)4 课程设计心得 (9)5 致谢 (10)参考文献 (11)附录 (12)附录A 总原理图 (12)附录B 实验现象 (12)附录C 引脚分布图 (14)附录D 实验程序 (14)1.绪论1.1 EDA技术的发展我们已经进入数字化和信息化的时代,其特点就是各种数字产品的广泛应用。
vga显示
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:VGA显示专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:VGA显示二、实验目的与要求:通过对VGA接口的显示控制设计,理解VGA接口的时序工作原理,掌握通过计数器产生时序控制信号的方法以及用MEGEFUNCTION制作锁相环的方法。
三、实验内容:通过VHDL编程,在VGA显示器上实现竖形彩条的显示,显示模式为640×480 60Hz刷新率。
四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:注:由于RGB[2..0]输出的是数字量,所以只能够显示8种色彩。
640×480 60Hz显示模式的时序。
按照每秒60帧的刷新速度来计算,所需要的时钟频率为:频率=60H z(帧数)×525(行)×800(每一行像素数)=25.2MHz所以我们通过开发系统的10MHz时钟资源,利用FPGA上的锁相环产生25MHz的频率即可。
虽然没有达到精确的25.2MHz的时钟频率(刷新率可能会是59Hz),但是并不会造成影响。
六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;------------entity color isport ( clk : in std_logic;vs,hs :out std_logic;rgb : out std_logic_vector( 2 downto 0));end color;---------------architecture behave of color issignal rgbs : std_logic_vector( 2 downto 0);signal h,v : std_logic;beginprocess(clk)variable h_count : integer range 0 to 800 :=0;variable v_count : integer range 0 to 525 :=0;beginif (clk'event and clk='1')thenif (h_count=800) thenh_count:=1;if (v_count=525)thenv_count:=1;else v_count:=v_count+1;end if;else h_count:=h_count+1;end if;if h_count>8 AND H_COUNT<104 thenh<='0';else h<='1';end if;if v_count=3 or v_count=4 thenv<='0';else v<='1';end if;if (v_count > 33) and (v_count < 518) thencase h_count iswhen 152 to 231 => rgbs <= "011";when 232 to 311 => rgbs <= "100";when 312 to 391 => rgbs <= "100";when 392 to 471 => rgbs <= "011";when 472 to 551 => rgbs <= "011";when 552 to 631 => rgbs <= "100";when 632 to 711 => rgbs <= "100";when 712 to 791 => rgbs <= "011";when others => rgbs <= "ZZZ";end case;else rgbs <= "ZZZ";end if;end if;rgb <= rgbs;vs <= v;hs <= h;end process;end behave;七、实验结果与分析:1,trl:2,block:3,实验效果图:八,讨论和回答问题及体会:1,显示器是人机交换的窗口,通过本实验的操作及代码书写,不再觉得液晶显示器多么的神秘,原来通过自己亲身操作也可以实现显示自己想要的画面,本次实验使用的是640*480分辨率的显示器。
VGA彩色信号发生器
VGA 彩条信号发生器一、设计简要说明:用FPGA 实现VGA 的彩条信号显示,通过按键实现横纹、竖纹、方格图案的变化,并能进一步设计出文字、图像的显示。
显示图像分辩率为640×480,刷新率为60Hz 。
VGA 显示原理见教材《数字系统设计与V erilog HDL 》(第三版)P276,这里只做简单介绍。
当显示屏分辩率为640×480时,整个屏上有640×480=307200个点(也称为像素),每个点的位置由其所在行和列确定,如图1所示A 点的位置是410行450列。
一幅图像就由这样的点阵来显示,像素点点亮的方式为从左到右,从上到下一行一行地扫描,当一屏扫完也就完成了一帧图像的显示,一秒钟扫60帧图像,其刷新率为60Hz 。
设计的关键在于:1、显示某一颜色的位置;2、如何由二进制代表颜色应当注意,VGA 显示器每扫描完一行,再扫描一下行时会花一定时间来准备,因此要满足时序要求,见图2。
图2 VGA 行扫描时序图同样每扫描完一帧,再扫描下一帧行时也会花一定时间来准备,因此也要满足其时序要求,见图3。
图3 VGA 场扫描时序图显示的颜色用三位二进制表示,共可以显示八种颜色,对应关系见表1:表1颜色编码0 479450410从0计数到639 从0计数到479二、源代码及说明(参考) //VGA 彩条信号发生器源代码 /*引脚定义Clock50MHz :输入时钟,采用50MHz ;key :彩条选择信号,为"00"时显示竖彩条,为"01"时显示横彩条,其他情况显示棋盘格;rgb :输出三基色; hs :行同步信号; vs :场同步信号。
*/module color(clock50MHz,key,rgb,hs,vs);input clock50MHz; input[1:0] key; output hs,vs; output[2:0] rgb; reg hs,vs,clock; reg[2:0] rgb,rgbx,rgby; reg[9:0] hcnt,vcnt;parameter h_Ta=96,h_Tb=40,h_Tc=8,h_Td=640,h_Te=8,h_Tf=8,h_Tg=800; parameter v_Ta=2,v_Tb=25,v_Tc=8,v_Td=480,v_Te=8,v_Tf=2,v_Tg=525; always@(posedge clock50MHz) //2分频,得到25MHz 的频率 begin c lock<=~clock; e ndalways@(posedge clock) //行计数800begin if(hcnt==h_Tg-1) hcnt<=0; else hcnt<=hcnt+1;end always@(negedge hs) //场计数525begin if(vcnt==v_Tg-1) vcnt<=0; else vcnt<=vcnt+1;end always@(posedge clock) //时钟clock 为25MHz begin if(hcnt<=h_Ta-1) hs<=0; //产生行同步信号 else hs<=1; end always@(vcnt)begin if(vcnt<=v_Ta-1) vs<=0; //产生场同步信号 else vs<=1; end always@(posedge clock)begin //竖彩条 (说明显示的位置及颜色)if(hcnt<=h_Ta+h_Tb+h_Tc-1) rgbx<=3'b000; //黑色else if(hcnt<=h_Ta+h_Tb+h_Tc+80-1) rgbx<=3'b001; //红 else if(hcnt<=h_Ta+h_Tb+h_Tc+160-1) rgbx<=3'b010; //绿 else if(hcnt<=h_Ta+h_Tb+h_Tc+240-1) rgbx<=3'b100; //蓝 else if(hcnt<=h_Ta+h_Tb+h_Tc+320-1) rgbx<=3'b101; //青 else if(hcnt<=h_Ta+h_Tb+h_Tc+400-1) rgbx<=3'b110; //品 else if(hcnt<=h_Ta+h_Tb+h_Tc+480-1) rgbx<=3'b000; //黑 else if(hcnt<=h_Ta+h_Tb+h_Tc+560-1) rgbx<=3'b011; //黄 else if(hcnt<=h_Ta+h_Tb+h_Tc+640-1) rgbx<=3'b111; //白111 01B1 1 0 0 1 1 0 0 G 1 1 1 1 0 0 0 0 R 白 黄 青 红 品 绿 蓝 黑 颜色else rgbx<=3'b000;if(vcnt<=v_Ta+v_Tb+v_Tc-1) rgby<=3'b000; /*横彩条(说明显示的位置及颜色)*/else if(vcnt<=v_Ta+v_Tb+v_Tc+60-1) rgby<=3'b001; else if(vcnt<=v_Ta+v_Tb+v_Tc+120-1) rgby<=3'b010; else if(vcnt<=v_Ta+v_Tb+v_Tc+180-1) rgby<=3'b100; else if(vcnt<=v_Ta+v_Tb+v_Tc+240-1) rgby<=3'b101; else if(vcnt<=v_Ta+v_Tb+v_Tc+300-1) rgby<=3'b110; else if(vcnt<=v_Ta+v_Tb+v_Tc+360-1) rgby<=3'b111; else if(vcnt<=v_Ta+v_Tb+v_Tc+420-1) rgby<=3'b011; else if(vcnt<=v_Ta+v_Tb+v_Tc+480-1) rgby<=3'b111; else rgby<=3'b000; endalways @(key)begin if(key==2'b00) rgb<=rgbx; else if(key==2'b01) rgb<=rgby;else if(key==2'b10) rgb<=(rgbx+rgby); else rgb<=(rgbx-rgby); endendmodule 三、仿真结果。
VGA彩条信号发生器的设计
VGA彩条信号发生器的设计一、实验目的1.了解普通显示器正确显示的时序。
2.了解VHDL产生VGA显示时序的方法。
3.进一步加强对FPGA的认识。
二、实验原理尽管显示器的新品层出不穷,但CRT(Cathode Ray Tube,阴极射线管)的基本工作原理一直沿用了几十年,直到今天也没有太大的变化。
显示器是一种复杂的设备,其扩展性和可靠性也十分惊人,在这一方面,电子控制起了很大的作用,任何机械都会有磨损,唯有用电子才能延长寿命,甚至能适应数千小时的工作。
电子枪是显示像管的核心,安发出的电子束击中光敏材料(荧光屏),刺激荧光粉就能产生图像。
实际上,电子枪和大体积的、功率强劲的二极管没有什么区别,其原理也适用于电视机和示波器。
CRT分为几个部分:Deflection Coil(偏转线圈)用于电子枪发射器的定位,它能够产生一个强磁场,通过改变强度来移动电子枪。
线圈偏转的角度有限,当电子束传播到一个平坦的表面时,能量会轻微的偏移目标,仅有部分荧光粉被击中,四边的图像会产生弯曲现象。
为了解决这个问题,显示器生产厂把显像管做成球形,让荧光粉充分地接受能量,缺点是屏幕将变得弯曲,电子束射击由左至右,由上至下的过程称为刷新,不断重复的刷新能保持图像的持续性。
显示器屏幕的色彩是由RGB(红、绿、蓝)三色光所合成的,我们可通过调整这三个基色调出其它的颜色,在许多图像处理软件里都有提供色彩调配功能,你可输入三基色的数值来调配颜色,也可直接根据软件提供的调色板来选择颜色。
在这一部分的功能上实验系统采用专用的编解码芯片来完成。
其具体实现、原理我们将在以后的实验中做详细的说明。
在本实验中只用到了RGB三基色来组成八种颜色构成彩条信号。
VGA显示器在显示过程中主要由五个信号来控制,分别是R、G、B、HS和VS。
其中R、G、B分别用来驱动显示器三个基色的显示,即红、绿和篮,HS是行同步信号,VS是场同步信号。
在做本实验时,由于没有任何显示器驱动,所以显示器工作在默认状态,分辨率:640×480,刷新率:60Hz。
FPGA—VGA显示
VGA一、设计目的1、利用VHDL语言的描述方法进行设计完成VGA显示的系统设计;2、自行选择VGA显示模式;3、通过FPGA产生VGA Monitor的控制时序;4、通过FPGA产生彩条图形,在VGA显示器上显示;5、扩展要求:可以在拨动开关控制下,通过FPGA+VGA接口的系统在屏幕上显示不同图像。
二、设计原理1、VGA简要介绍显示绘图阵列(video graphic array,VGA)接口是LCD液晶显示设备的标准接口,大多应用在显示器与显卡之间,同时还可以用在等离子电视输入图像的模数转换上。
VGA显示输出RGB三原色信号,RGB色彩模式是工业界的一种颜色标准,是通过对红(R)、绿(G)、蓝(B)三个颜色通道的变化以及它们相互之间的叠加来得到各式各样的颜色,目前在图像显示领域中应用非常广泛。
2、VGA的显示特点(1)扫描格式繁多,分辨率从320×200一直延伸到1280×102,行频15.8~70Hz,场频50~100Hz。
常见的行频有31.4Hz,37.8Hz,57.9Hz,62.5Hz 等,常见场频有50Hz,60Hz,70Hz,100Hz,16700K之分。
(2)显示器的显示方式有两种:A/N显示方式和APA显示方式,即文本显示方式和图形显示方式。
A/N方式已淘汰不用,目前微机都采用APA图形方式。
(3)VGA接口为显示器提供两类信号,一类是数据信号,一类是控制信号。
数据信号包括红(Red)、绿(Green)、蓝(Blue)信号,简称RGB信号,控制信号包括水平同步信号和垂直同步信号。
输出不同分辨率时,水平同步信号和垂直同步信号的频率也不相同。
3、VGA显示原理常见的彩色显示器一般由CRT(阴极射线管)构成,彩色是由R(红)、G(绿)、B(蓝)三种基色组成。
显示是采用逐行扫描的方式,阴极射线枪发出的电子束打在涂有荧光粉的荧光屏上,产生RGB三色基,最后合成一个彩色图像。
RGB三基色彩色条纹显示控制软件设计报告
综合课程设计题目:设计一种信号发生器使VGA 显示器能显示出彩色条纹。
该发生器产生R 、G 、B 三基色信号(高电平有效),能在VGA 彩色显示器(640×480/60Hz )上显示出白、黄、青、绿、品、红、蓝、黑条纹,各种彩色条纹所对应的R 、G 、B 编码如下表所示。
另外需产生行同步HS 和场同步VS 信号。
当VS=0,HS=0时,CRT 显示的内容为亮的过程,即正向扫描过程,约为26us ,当一行扫描完毕,行同步HS=1,约为6us ;其间CRT 扫描产生消隐,电子束回到CRT 左边下一行起始位置(x=0,y=1);当扫描完480行后,CRT 的场同步VS=1,产生场同步使扫描线回到CRT 的第一行第一列(x=0,y=0),约需两行周期。
已知该发生器的输入时钟为12MHz 。
1. 对选题的理解(题目要求概述)CRT 用逐行扫描或隔行扫描的方式实现图像显示,由VGA 控制模块产生的水平同步信号和垂直同步信号控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R 、G 、B 三基色,合成一个彩色像素。
扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间,CRT 对电子束进行消隐,每行结束是用行同步信号HS 进行行同步;扫描完所有行,再由场同步信号VS 进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。
行同步信号HS 和场同步信号VS 是两个重要的信号。
显示过程中,HS 和VS 的极性可正可负,显示器内可自动转换为正极性逻辑。
需要一个时钟输入信号,根据输入信号产生水平扫描计数从而产生行同步信号HS ,再根据水平扫描计数的进位产生竖直扫描计数从而产生场同步信号,完成扫描过程。
之后在根据要求将水平或竖直方向的扫描信号的显示时间分为8组,显示出8个彩条。
2. 系统分析(系统原理图,算法框图,设计要点、难点和特色点)行信号扫描时序图:场信号扫描时序图:算法框图: ← 图像显示 →消隐← 26us → 6us64us← 480个行信号 →设计要点、难点和特色点:常见的彩色显示器一般由阴性射线管(CRT)构成,彩色由RGB三基色组成。
实验九 VGA彩条信号显示
实验九VGA彩条信号显示控制器设计1.实验目的:学习VGA彩条信号发生器的设计。
2.实验内容设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的EP2C5T144C8 FPGA,)进行硬件验证。
(1)R,G,B:三基色信号HS:行同步信号VS:场同步信号VGA工业标准要求的频率:时钟频率25.175MHz行频31469Hz场频59.94Hz(2)程序可参照书本P177(3)实验程序:通过对彩条模式选择按键的控制来改变彩条模式, 可产生竖彩条、横彩条、棋盘格等多种彩条模式。
出来的彩条信号并不是书里要求的按照横条,竖条,棋盘分布,而是按照棋盘,竖条,横条分布,原因是程序执行时是离进程最近的最先执行,可以通过修改程序把希望最先显示的信号放在离进程最近的地方。
由于受到存储器件容量的限制,本设计中存储的图像数据所采用的像素位深为3位,共可显示8种颜色。
在实际应用中,可以使用更大的存储器,最终实现256色图像,乃至真彩色图像的显示。
LIBRARY IEEE; -- 显示器彩条发生器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLOR ISPORT ( CLK, MD : IN STD_LOGIC;HS, VS, R, G, B : OUT STD_LOGIC ); -- 行场同步/红,绿,兰END COLOR;ARCHITECTURE behav OF COLOR ISSIGNAL HS1,VS1,FCLK,CCLK : STD_LOGIC;SIGNAL MMD : STD_LOGIC_VECTOR(1 DOWNTO 0);-- 方式选择SIGNAL FS : STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL CC : STD_LOGIC_VECTOR(4 DOWNTO 0); --行同步/横彩条生成SIGNAL LL : STD_LOGIC_VECTOR(8 DOWNTO 0); --场同步/竖彩条生成 SIGNAL GRBX : STD_LOGIC_VECTOR(3 DOWNTO 1);-- X横彩条SIGNAL GRBY : STD_LOGIC_VECTOR(3 DOWNTO 1);-- Y竖彩条SIGNAL GRBP : STD_LOGIC_VECTOR(3 DOWNTO 1);SIGNAL GRB : STD_LOGIC_VECTOR(3 DOWNTO 1);BEGINGRB(2) <= (GRBP(2) XOR MD) AND HS1 AND VS1;GRB(3) <= (GRBP(3) XOR MD) AND HS1 AND VS1;GRB(1) <= (GRBP(1) XOR MD) AND HS1 AND VS1;PROCESS( MD )BEGINIF MD'EVENT AND MD = '0' THENIF MMD = "10" THEN MMD <= "00";ELSE MMD <= MMD + 1; --三种模式END IF;END IF;END PROCESS;PROCESS( MMD )BEGINIF MMD = "00" THEN GRBP <= GRBX; -- 选择横彩条ELSIF MMD = "01" THEN GRBP <= GRBY; -- 选择竖彩条ELSIF MMD = "10" THEN GRBP <= GRBX XOR GRBY; --产生棋盘格ELSEGRBP <= "000";END IF;END PROCESS;PROCESS( CLK )BEGINIF CLK'EVENT AND CLK = '1' THEN -- 12MHz 13分频IF FS = 12 THEN FS <= "0000";ELSEFS <= (FS + 1);END IF;END IF;END PROCESS;FCLK <= FS(3);PROCESS( FCLK )BEGINIF FCLK'EVENT AND FCLK = '1' THENIF CC = 29 THEN CC <= "00000";ELSECC <= CC + 1;END IF;END IF;END PROCESS;CCLK <= CC(4);PROCESS( CCLK )BEGINIF CCLK'EVENT AND CCLK = '0' THENIF LL = 481 THEN LL <= "000000000";ELSELL <= LL + 1;END IF;END IF;END PROCESS;PROCESS( CC,LL )BEGINIF CC > 23 THEN HS1 <= '0'; --行同步ELSEHS1 <= '1';END IF;IF LL > 479 THEN VS1 <= '0'; --场同步ELSEVS1 <= '1';END IF;END PROCESS;PROCESS(CC, LL)BEGINIF CC < 3 THEN GRBX <= "111"; -- 横彩条ELSIF CC < 6 THEN GRBX <= "110";ELSIF CC < 9 THEN GRBX <= "101";ELSIF CC < 12 THEN GRBX <= "100";ELSIF CC < 15 THEN GRBX <= "011";ELSIF CC < 18 THEN GRBX <= "010";ELSIF CC < 21 THEN GRBX <= "001";ELSE GRBX <= "000";END IF;IF LL < 60 THEN GRBY <= "111"; -- 竖彩条ELSIF LL < 120 THEN GRBY <= "110";ELSIF LL < 180 THEN GRBY <= "101";ELSIF LL < 240 THEN GRBY <= "100";ELSIF LL < 300 THEN GRBY <= "011";ELSIF LL < 360 THEN GRBY <= "010";ELSIF LL < 420 THEN GRBY <= "001";ELSE GRBY <= "000";END IF;END PROCESS;HS <= HS1 ; VS <= VS1 ;R <= GRB(2) ;G <= GRB(3) ; B <= GRB(1);END behav;选择模式5CLK 选CLOCK0 ,对应引脚PIN_89 选用12MHzMD 选PIN48 ,对应引脚PIN_8. 键1R 选PIN40 ,对应引脚PIN_99.G 选PIN41 ,对应引脚PIN_100 .B 选PIN42 ,对应引脚PIN_101.HS 选PIN43 ,对应引脚PIN_103VS 选PIN44 ,对应引脚PIN_104.由于本次实验要用到两台显示器,请1号机房的同学将编译成功仿真成功的代码拷贝到第21台电脑上运行。
(HDMI VGA CVBS)视频信号发生器 使用说明书
(HDMI VGA CVBS)视频信号发生器使用说明书
产品特点:
1,支持(HDMI信号,VGA信号,CVBS信号+音频信号)输出。
2,彩屏显示输出信号,内置锂电池,电容式触摸按键,按键提示音。
3,(香槟金,银灰色)铝合金外壳,迷你机身。
操作说明:
1,长按电源键开机,长触摸按键选择输出模式择输出模式(800*600 HDMI/VGA 输出-1024*768 HDMI/VGA输出-电视机信号输出)
2,选择输出模式后,短触摸按键选择显示模式(红色绿色蓝色黄色紫色白色黑色彩条绿色动画)
3,充电指示灯亮红色时,表示正在充电,充指示灯亮绿色时表示充满电。
使用范围:显示器维修,电视机维修,工厂液晶屏检测等。
产品规格:
输出:HDMI信号/VGA信号/CVBS信号+音频信号
输出信号分辨率:1024*768 800*600 PAL制式720*576
输出模式:红色绿色蓝色黄色紫色白色黑色彩条绿色动画。
输入电压:DC +5V
输入电流:DC +5V 400MA
电池:700MAH
尺寸:长7.5CM*宽7.5CM*2.2CM
重量:0.105KG。
VGA(纯色显示、彩条显示)、IP Core(PLL)北理工
我们先讲 VGA(纯色显示、彩条显示) 。 本节课我先简要地介绍一下 VGA 显示图像的基本原理,然后实际演示一下 VGA 驱动逻辑电 路的建模与仿真,我们还要结合 IP 核的 pll 一起编写一个完整的演示逻辑,并下板查看实际 效果。最后我们会补充 IP Core(PLL)的相关知识。 打开《ZX_NO1.pdf》文件,我们看一下ZX_1开发板VGA部分的电路图。
vga vga (.clk(VGA_CLK), .rst_n(rst_n), .rgb30(rgb30), .hsync(hsync), .vsync(vsync)); rgb rgb (.rgb30(rgb30), .r(r), .g(g), .b(b)); endmodule 进行分析综合检查。 编写 Testbench。 新建 top_tb.v 文件。 `timescale 1ns/1ps module top_tb; reg clk, rst_n; wire [9:0] r, g, b; wire hsync, vsync; wire VGA_CLK; wire VGA_BLANK, VGA_SYNC; top dut ( .clk(clk), .rst_n(rst_n), .r(r), .g(g), .b(b), .hsync(hsync), .vsync(vsync), .VGA_CLK(VGA_CLK), .VGA_BLANK(VGA_BLANK), .VGA_SYNC(VGA_SYNC)
assign block = {{10{1'b1}},{10{1'b0}},{10{1'b0}}}; assign rgb30 = (hflag && vflag) ? block : {30{1'b0}}; endmodule
显示器出现彩色竖条怎么办
显示器出现彩色竖条怎么办在有些时候我们的显示器出现彩色竖条了,这该怎么办呢?下面就由店铺来为你们简单的介绍显示器出现彩色竖条的解决方法吧!希望你们喜欢!显示器出现彩色竖条的解决方法:第一步:用鼠标右键在电脑桌面空白处点击一下,显示下拉栏之后,打开属性。
第二步:点击属性之后,就会显示一个显示属性。
第三步:然后切换到设置,在里面打开高级。
第四步:显示即插即用监视器,切换到疑难解答,将硬件加速的光标向左边移动。
然后点击确定。
附加显示器出现彩色竖条的解决方法分析:每次出现这样的问题,俺是这样来解决问题的:先关闭电脑,之后将显示器与主板之间的数据连接线,拨出,之后重新再连接上,如此,重新开机,问题就解决了。
但是,一旦运行某些软件,诸如360安全卫士等,问题又出现了,就这样,问题出现,就拨数据线,再插上,多次都是通过这样的重复操作来解决的。
针对这个问题,我们可以得出这样的一个结论,即显示器本身不存在问题,同时,显卡也无任何质量问题,另外显卡驱动程序也并非受到破坏,数据连接线也没有问题,当然,主板也没啥问题,那么,问题究竟出在哪里呢?我在一次玩游戏的过程中,发现了这个问题,玩魔兽3D游戏的时候,必须启用硬件加速才能玩。
当看到这个提示的时候,俺就明白为什么显示器会出现彩条了!如果您的显示器、显卡、数据连接线、驱动程序、主板等,均无任何问题,但是却出现条纹,那么,请使用以下的办法解决。
首先,在桌面上点击右键并选择“属性”,弹出如下图的对话框切换到“设置”选项卡,之后点击“高级”按钮,弹出如下图的对话框上图中,切换到“疑难解答”选项卡,这个时候,我们会看到“硬件加速”的设置,将硬件加速的滑块尽量拖动到左边,千万别让硬件使用“完全”方式进行加速。
这主要是由于您的显卡质量不是很高,支撑不起“完全”加速,因此才会出现彩条。
解决电视机面出现彩条的技巧
解决电视机面出现彩条的技巧电视机是我们生活中重要的娱乐工具之一,然而有时候我们可能会遇到电视机面出现彩条的问题,这不仅影响了画面质量,还会影响观看体验。
为了解决这个问题,本文将介绍一些有效的技巧和方法。
1. 检查电视连接线路首先,检查电视连接线路是解决彩条问题的第一步。
确保电视和输入源设备(如有线电视盒、DVD播放器等)之间的HDMI、AV线等连接稳固无松动。
如果发现连接线松动或损坏,及时更换或固定连接,以确保信号传输正常。
2. 调整画面设置彩条问题有时也可能是由于画面设置不正确引起的。
通过菜单或遥控器上的设置按钮进入电视的画面设置界面,调整亮度、对比度、色彩饱和度等参数,尝试不同的设置来消除彩条。
可以尝试将画面模式设置为“标准”或“自定义”,并根据个人喜好进行微调。
3. 检查电视信号源当电视信号源不稳定或出现问题时,可能会导致画面出现彩条。
这时,我们可以尝试更换信号源,比如从有线电视切换到DVD播放器或游戏主机等。
如果彩条问题在更换信号源后得到解决,那么可能是原信号源的问题,可以考虑修复或更换设备。
4. 检查电视机电源电视机面出现彩条问题还可能与电源供电有关。
确保电视机连接的电源线正常工作,并且插座没有松动或其他电源问题。
有时,电源线可能会因为老化或损坏而导致电视画面出现故障,此时需要更换新的电源线。
5. 重启电视机在遇到电视机面出现彩条问题时,尝试重启电视机是一种简单有效的解决方法。
通过按下遥控器上的电源按钮关闭电视机,并拔掉电源线。
等待几分钟后重新插入电源线,再次开启电视机。
这样有时可以清除一些临时性的故障,从而解决彩条问题。
6. 查找专业维修帮助如果上述方法不能解决电视机面出现彩条的问题,那可能需要寻求专业的维修帮助。
在联系维修服务之前,可以先查询电视机的保修期限,如果还在保修期内,可以直接联系制造商或品牌售后服务中心,获得免费维修或更换电视的支持。
综上所述,当电视机面出现彩条时,我们可以通过检查连接线路、调整画面设置、更换信号源、检查电源供电、重启电视机等方法来解决。
液晶显示器出现彩色条纹怎么办
液晶显示器出现彩色条纹怎么办显示器出现故障是很常见的事情,那么你知道液晶显示器出现彩色条纹的问题吗?下面就由店铺来给你们说说液晶显示器出现彩色条纹的原因及解决方法吧,希望可以帮到你们哦!液晶显示器出现彩色条纹的解决方法一:1、显示器有问题或显示器老化了。
2、显示器被磁化(可以有消磁棒去消磁)。
3、硬件有问题(显卡氧化等其他问题)。
4、电源有问题(电压不稳定等其他问题)。
5、有可能是经常打游戏损害了显像管。
其次:1)尝试恢复显示器出厂设置按下显示器上的按键,找到恢复出厂设置此项,点击生效后确认故障是否存在。
2)确认显示器连接线接触是否完好,连接线是否损坏确认连接线连接牢固,替换连接线确认显示器故障是否依旧。
3)确认显示器,显卡驱动是否异常若上述两步检查完后,故障现象依然出现,此时可以尝试替换显示器,若其他显示无此故障,证明是原显示器的故障,此时需要对其进行维修;若更换新显示器后故障现象依然存在,此时则需重新安装或者更新显卡驱动,确认显示器故障是否依旧4)如果以上项目均未解决故障,那就是显卡硬件的问题了,此时需对显卡进行修理。
液晶显示器出现彩色条纹的解决方法二:组装好一台电脑后开机,发现显示器的屏幕上有一条线从上到下贯穿屏幕。
这种现象不是由于主板与显卡不兼容引起的,而是因为主板与内存条不兼容造成的。
集成显卡的主板与主板共同分享主板上的内存,而显卡使用的缓存要比内存严格一些,不少内存条不能满足显卡的要求,更换几种其他的内存条进行测试,就可以解决问题了。
其次:1.进入电脑屏幕分辨率设置,将分辨率调节都最高(推荐)那个数值即可。
2.设置好后,记得点击底部的确定保存,最后会提示是否保存显示设置,点击“保存更改”即可。
3.假如依然无法解决建议联系售后处理。
右击“桌面”,从弹出的菜单中选择“屏幕分辨率”项。
在弹出的窗口中就可以设置屏幕分辨率啦。
点击“高级设置”按钮。
然后在弹出的“显示器属性”窗口中,切换至“监视器”选项卡,就可以设置屏幕刷新率啦。
电视机出现彩条怎么办
电视出现彩条—电视出现彩条的原因
可能是两种情况。
1、液晶屏的问题
2、有干扰。
包括显示器附近的电源,HUB,磁场等干扰,还有显示器内部的
高压条的干扰,一般此类情况多发生在液晶屏的'薄屏中,薄屏一般的抗干扰能力
较差!
电视出现彩条—电视出现彩条怎么办
解答一:
建议先检查显示器与主机连线插头是否牢固,重新插一下,再两头互换试试。
2。
尝试自动调节(显示器有个AUTO 按钮)
3。
手动调整分辨率,刷新率。
4。
更新显卡驱动。
(特效哦)
5。
送修。
解答二:
可能的情况有两种情况 1,液晶屏的问题 2,有干扰。
包括显示器附近的电源,HUB,磁场等干扰,还有显示器内部的高压条的干扰,一般此类情况多发生在液
晶屏的薄屏中,薄屏一般的抗干扰能力较差!
1 换个主机箱试试
2 重装显卡驱动
3 重装系统。
要判断可能有3个方面:
2。
连接线问题(重新插,检查vga 或者dvi 接口)
3。
显示器问题(检查电插座是否通电。
方便的话,接在其他电脑上看是不是
正常)还不行一键还原。
如果没有准备系统光盘,光驱可以使用。
启动电脑按del 键,在 bios 里设置成光盘启动,插入系统盘然后重启,基本是按步骤自动完成。
再不行,检查系统没病毒的话。
只能是散热问题。
用的时间长了,可以打开机箱小心清扫里面的灰尘,给风扇都加一点高级机油。
针对的主要问题就是降低机
箱内温度。
电脑显示器开机出现彩条怎么办
电脑显示器开机出现彩条怎么办有些网友反映说他的电脑显示器开机出现彩条,这该怎么办呢?下面就由店铺来为你们简单的介绍电脑显示器开机出现彩条的解决方法吧!电脑显示器开机出现彩条的解决方法:1.检查显卡与显示器信号线接触是否良好。
可以拔下插头检查一下,D形插口中是否有弯曲、断针、有大量污垢,这是许多用户经常遇到的问题。
在连接D形插口时,由于用力不均匀,或忘记拧紧插口固定螺丝,使插口接触不良,或因安装方法不当用力过大使D形插口内断针或弯曲,以致接触不良等。
2.打开机箱检查显卡是否安装正确;与主板插槽是否接触良好。
显示卡或插槽是否因使用时间太长而积尘太多,以至造成接触不良;显示卡上的芯片是否有烧焦、开裂的痕迹;因显示卡导致黑屏时,计算机开机自检时会有一短四长的“嘀嘀”声提示。
安装显示卡时,要用手握住显示卡上半部分,均匀用力插入槽中,使显示卡的固定螺丝口与主机箱的螺丝口吻合,未插入时不要强行固定,以免造成显卡扭曲。
如果确认安装正确,可以取下显示卡用酒精棉球擦一下插脚或者换一个插槽安装。
如果还不行,换一块好的显卡试一下。
3.检查内存条与主板的接触是否良好,内存条的质量是否过硬。
把内存条重新插拔一次,或者更换新的内存条。
如果内存条出现问题,计算机在启动时,会有连续四声的“嘀嘀”声。
其次:把该显示器连接到另一台主机上使用,如果故障消失,则可能是显卡的故障。
如果故障依旧,则可能是显示器本身的故障或者显示器电缆线接口的故障。
重点检查显示器电缆线接口金属针是否折断或弯曲,如果不行,干脆给显示器更换一条新的电缆线再试。
1、电源线或数据线的问题。
2、使用环境是否有电磁干扰。
3、显示器显象管的问题(建议送修) 。
4、显卡或显卡驱动有问题(换一条试试或升级显卡驱动试试)。
显卡常见故障诊断如下(1)显卡接触不良故障:显卡接触不良通常会引起无法开机且有报警声或系统不稳定死机等故障。
造成显卡接触不良的原因主要是显卡金手指被氧化、灰尘、显卡品质差或机箱挡板问题等。
显示器出现彩色条纹怎么办
显示器出现彩色条纹怎么办显示器出现彩色条纹的解决方法一:首先你先关机,将显示器的插头拔掉,然后从新插上,开机试试!如果还有就从启动电脑,在电脑刚启动时按del键,看在那个界面是否会出现彩色条纹,如果有的话就是显示器有问题,你就可以去找售后进行维修了,如果没有就是显卡问题!还有就是你的电脑旁边有强力的磁场,比如手机或者音响等,尽量将这些东西放远点!其次:电脑屏幕中出现条纹原因一、受到外部干扰是由于放置显示器的附近有强烈的电磁干扰,如电火花和高频电磁等。
如果干扰强度非常大,则会导致显示器整个画面产生白色的水平条纹;如果干扰强度不大,则表现为显示器的某个边角出现严重变化的情况。
解决方法:出现这两种情况时,我们首先要重点对显示器使用现场周围进行检查,排除有发生强磁干扰的设备,一般情况下,问题都能够解决。
电脑屏幕中出现条纹原因二、受到内部干扰内部干扰与外部干扰的表现有很大的差异,如果显示器内部受干扰,会使显示器的显示画面出现黑色的水平条纹,且比较严重。
解决方法:遇到这种情况,我们可以打开机壳检查一下显示器内部是否有接触不良的地方,电源的输出端或输出变压器等有无问题。
电脑屏幕中出现条纹原因三、显示器使用年限过长年限过长内部的电容便会出现老化失效,而导致启动速度过慢,屏幕灰暗,字体模糊不清的现象。
这时只要更换老化的电容,一般都能够排除故障,但由于也是技术相当高的工作,建议送修。
电脑屏幕中出现条纹原因四、显示器分辨率设置不当也可能出现严重电脑屏幕出现条纹故障,这类故障一般会出现在比较老的机器上,如果你的电脑工作在Windows系统下,那么你可以按F8键进入系统的安全模式下,重新设置显示器的显示模式,重新启动后一般能够排除故障。
显示器出现彩色条纹的解决方法二:一、在桌面空白处,右击鼠标-属性-设置-高级-设置分辨率1024某768以上,颜色质量16以上。
二、设置不成,可能是显卡驱动没装好,用驱动精灵安装更新显卡驱动。
显示器出现彩色条纹及黑屏怎么办
很多时候我们的显示器出现彩色条纹及黑屏,这该怎么办呢下面就由来为你们简单的介绍显示器出现彩色条纹及黑屏的解决方法吧!希望你们喜欢哦!显示器出现彩色条纹及黑屏的原因及解决:1.显卡的故障,你先看看是不是超过频,然后就是散热检查一下,比如风扇是不是缺油转的慢了,散热片接触良好么然后就是看看显卡是不是松动了,我说的不是和显示器的接口,是AGODEC080"可得到解决。
2.显示卡的主控芯片散热效果不良:这也会产生花屏故障现象,处理方法:改善显示卡的散热性能。
3.显存速度太低:当显存速度太低以致于不能与主机的速度匹配时,也会产生花屏现象,处理方法:更换更高速的显存,或降低主机的速度。
4.显存损坏:当显存损坏后,在系统启动时就会出现花屏混乱字符的现象,处理方法:必须更换显存条。
5.病毒原因:在某些病毒发作时也会出现花屏,处理方法:用杀毒软件杀毒即可消除。
电容失效引起显示器特殊故障的处理故障现象:一台AOK彩色显示器,使用一段时期后出现故障。
计算机开启后,显示器无显示,约5分钟后,显示器才逐渐亮起来,但屏幕右部较暗,且有水平黑线,之后缓慢转入正常状态。
首先检查各部件之间是否存在接触不良。
但我拆开机箱,重新认真连接各部件后,却发现故障照旧,这下只能怀疑某个元件坏了。
我采用替换法即把各部件拿到别人机器上逐一检查。
首先把显示器接到别人的显卡上,开机后屏幕上信息马上就显示出来,说明显示器并无问题。
再试显卡,同样插在别人机器上,发现显示卡也是好的,我把声卡、网卡统统拔下来,这时只剩主板、CHZ,这时我突然想起内存坏掉也会引起黑屏,于是再耐心地把2条16MEDO拔下来,又插到别的机器中去,开机自检,32M一点都不少。
问题查到这里,就是主板和电源的问题了。
把主板从机箱上拆下来,耐心地把它上面的灰尘拭去,突然我眼睛一亮,居然有一个集成芯片的2个管脚接在了一起!我想起前几天拆机时不慎把螺丝刀掉在主板上,砸弯了一个管脚。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Fgpa之Vga屏驱动
一天半的时间终于把vga最基本的的彩条显示弄出来了。
之前搜了一大堆资料,看后原理也基本明白了,可是编写代码下进去后屏幕依然是漆黑一片,关键的问题还是要靠自己慢慢摸索透彻。
首先就是vga驱动的时序问题。
其实时序也并不难,大部分网上找的资料也都讲的很明白,后来我发现我编写的代码不显示完全不是因为时序问题,而是忽略了一个资料上根本都没提到过的问题。
vga一般显示的话5根线就够了。
分别是horizontal sync 和vertical sync,翻译后就是“水平同步(行同步)”和“垂直同步(也称为场同步)”。
实际上他们的变化是按照一定的规律周期性变化的(当然这个周期的长短要根据你选用的屏幕的分辨率和刷新频率),也就是他们的时序。
“水平同步”按字面也能理解就是控制水平方向的,它的一个周期就是扫描一行所用的时间。
而“场同步”的周期却要比行的周期长,也是行周期的整数倍,它的周期是扫描整个屏幕所用的时间。
编程时所说的时序控制就是控制这两根信号。
另外三根就是RGB三色信号,他们实际上是模拟信号,控制颜色就是看这几根线输进去的电压的大小,比如我们把GB两根线都接地,那么给R加电压越大红色就越深,越小红色越前,当然有个限度大概就是1点多v左右,加个几百v显示器还不爆了。
所以想控制显示什么颜色就控制他们的三根信号的电压就可以。
我编写的是640*480分辨率,60hz刷新频率的信号控制,显示屏用一般的电脑液晶显示器,按照标准来计算需要的时钟信号是25mhz(具体标准网上资料很多的)。
这25MHz也可以理解为每一个像素点的刷新频率。
然后就是分别编写行同步信号和场同步信号代码。
always @(posedge vga_clk) begin
if (hcnt<800) begin hcnt<=hcnt + 1 ; end
else begin hcnt <= {10{1'b0}} ; end
end
assign HS=(hcnt<96)?0:1;
assign henable=((hcnt>10'd144)&&(hcnt<10'd784))?1:0;
行同步信号被分为四个时期,而这四个时期中真正能显示在屏幕上的只有一个时期,我们称之为有效期。
也就是计数从144到783这段时间,这段时间我们另heable置1。
其余时间是用来消隐的。
最难理解的应该在HS信号的输出上,行同步信号既然有四个不同的时期,那么是不是在HS输出上能反映出这四个时期呢,其实不然,HS输出只在第一个时期为低电平,其他三个时期是高电平,而显示屏区分这三个时期仅仅是通过时间来区分的。
always @(posedge vga_clk) begin
if (hcnt == (799))
begin
if (vcnt < 525) begin vcnt <= vcnt + 1 ; end
else begin vcnt <= {10{1'b0}} ; end
end
end
assign VS=(vcnt<2)?0:1;
assign venable=((vcnt>10'd35)&&(vcnt<10'd515))?1:0;
场同步和行同步类似,仅仅是行同步是以一个像素变化为单位,而场同步是以行同步变化为单位的。
他的计数加1是在一行扫描完。
其他分析都一样。
当然他也有有效期,在这期间令venable置1.
接下来的也是一个关键问题,也就是我出错的地方。
我以为rgb信号可以一直送进去,屏幕他会自己舍去忽略除了有效期其他时间段(即消隐时期)内送进去的RGB信号,所我我想让屏幕整屏显示红色就把R接上高电平而GB接地。
但实际上上每次下进去之后屏幕总是漆黑一片。
直到写成这样:assign R = 1’b1 & henable & venable ;
assign G = 1’b0 & henable & venable ;
assign B = 1’b0 & henable & venable ;
意思就是仅仅在航扫描和场扫描的有效期内给RGB送进去信号,而在消隐时期不送信号。
分析一下其实也不难理解,消隐期本来就是让屏幕原本显示的都消去,而这时候RGB端还有信号输入屏幕当然消隐就起不到作用。
所以RGB信号智能在有效期输出数据,其他时期不能有……网上那么多资料却没有一个提出这个问题,呵呵也算自己的一点成果。
接下来向现实什么内容就在有效期内扫描不同的像素点时送上不同的RGB信号就可以够成画面了。
Author: WZT
2012.06.06
补充:2012年6月9日20:46:17
今天发现之前写的程序显示图片的时候竟然不是从屏幕左上角开始的而是一张图片从屏幕中间开始显示的。
后来换了种时序控制方式没事了,即行场扫描都从数据段开始计数,然后才迚行前肩期,同步期,后肩期。
但是这是因为啥?我开始还以为是这种电脑液晶屏特殊,时序必须是这样。
实际上问题不在这,我再写另一篇总结的时候才发现,
ROM_1 ROM_1_inst (
.address ( {vcount[5:0],hcount[5:0]} ),
.clock ( clk ),
.q (RGB)
);
问题就在这里,vcount和count在屏幕显示的时候已经计数一段时间了所以就不是从0地址开始的了。