电骰子电路设计

合集下载

电子骰子制作

电子骰子制作

电子技术课程设计总结报告课题名称:电子骰子套件制作专业:电气工程及其自动化班级: 12级电气本科二班学号: 44姓名:郭亮指导教师:裴建良摘要 (3)第一章设计指标 (4)设计题目 (4)设计任务和要求 (4)设计原理 (4)第二章系统方案 (5)系统模块及框图 (5)单元电路设计 (6)秒基准信号发生器 (6)计数器 (7)数码显示 (8)校时切换电路 (8)校时切换电路 (9)整体电路图 (9)部分芯片实际引脚图及功能 (11)multisim 仿真 (12)第三章方案总结 (12)元件清单 (12)心得体会 (13)参考文献: (13)摘要在众多的电视节目及其它媒介上经常可以看到有各种依靠电子技术进行抽奖的活动,因此,随机数的生成有一定的利用的地方。

而依靠所学的电子技术知识可以设计一款可以产生一定范围内的随机数的话,可以很好地锻炼和巩固已学到的知识。

这样的小作品可以作为抽奖器,也可以当作是日常生活中的骰子使用。

这样,只要是按个键就可以很好地产生一个数。

免去了以往在掷骰子时经常不小心把骰子碰到地上。

而从骰子产生的数的随机性上来说,电子式的更为客观,所以,在电子骰子足够小时,可以很好地代替实物。

电路通电时,7只LED会随机显示一个点数,玩家按一下开关S1,7只LED全部变亮,大约几秒后7只LED灯开始闪烁,直至最后显示出稳定的点数。

整个一次“掷骰子”的过程结束。

第一章设计指标设计题目电子骰子套件设计任务和要求(1) 使用逻辑门电路、集成芯片及555定时器等器件完成电子色子的设计。

(2) 用7个LED灯模拟色子显示1-7点。

(3) 按下开始按键后,1-7点高速循环,最后逐渐减慢,并停留在一个1-7点上。

(4) 当将开关闭合的时候,电子骰子随机变化,7个点,在变化过程中,根据设定的功能随机用LED灯亮的个数来表示。

(5) 对电路进行仿真和调试。

设计原理(1)本电路由555组成的多谐振荡器和CD4017十进制计数器/脉冲分配器构成。

电子技术课程设计:电子骰子

电子技术课程设计:电子骰子

电子技术课程设计电子骰子姓名:学号:小组成员:班级:指导老师:一、课程设计目的本次课程设计主要是配合《模拟电子技术》和《数字电子技术》理论课程而设置的一门实践性课程,起到巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想的效果。

二、电子骰子功能设计要求(1) 用1个数码管显示数字1~6,表示骰子的点数。

(2) 有一个按键,按下之后数码管的数字以0.5秒的时间间隔变化,松开按键5秒后,数字停止变化。

(3) 要保证出现的数字序列是随机的。

(4) 不能使用可编程器件实现。

三、设计方案比较设计方案1(仿真软件Multisim10)在发现用单稳态很难达到延迟和频率变化的效果后,而且压控振荡电路的输入不能直接用电压幅度(伏值)可变的交流电压源。

于是我们换思路思考,决定把555压控振荡器换成另一压控振荡电路,即电压—频率转换电路(参考模电P466电路)。

该压控振荡器由一个积分电路和一个滯洄比较器组成。

原理:利用积分运算电路输出电压的变化去控制压控振荡器输出频率的变化(开关断开后脉冲波频率渐渐变小),数码显示计数频率渐渐变慢。

当经过5到10秒的时间后,电压达到一定值时,也就是说超过压控振荡器可控电压范围后,振荡器失效,计数停止。

从而就实现了电子骰子的功能要求。

但是在Multisim仿真过程中,遇到了问题。

单独仿真积分电路时输入直流,输出也是直流,幅值没有变化,这与理论不符,说明在Multisim中仿真不出我们想要的结果。

在Multisim仿真中,电压—频率转换(压控振荡器),可以仿真出来脉冲波,问题是它的脉冲波略有失真,并没有比我们先前使用的555压控振荡器的脉冲波的波形好看,所以这个方案无法用Multisim仿真软件实现。

该方案理论上是可以实现的,但是可能是Multisim仿真软件自身存在着问题,所以无法实现。

设计方案2(仿真软件multisim10)经过方案1的仿真失败后,我们用multisim10重新仿真。

电骰子的设计与制作

电骰子的设计与制作

目录摘要 (2)1 任务目标 (3)2 设计思路 (3)2.1 系统框图 (3)2.2 电路工作原理说明 (4)3 设计过程 (5)3.1 脉冲源 (5)3.2 控制电路 (9)3.2.1 74LS192控制方法 (9)3.2.2 74LS161控制方法 (10)3.3 显示电路 (12)4遇到的故障和原因及其排除方法 (13)5心得体会 (13)6参考文献 (15)7 附表 (16)摘要此次课程设计的内容是电骰子的设计与制作,这个电路要求按下开关后LED 能从1-6随机显示一个数。

在设计过程中,显示器采用的是七段共阴极数码管;译码部分采用集成芯片74LS48;脉冲信号部分用555定时器连接成的多谐振荡器;控制部分用集成计数器40192和三输入与非门74LS10组合控制,也可以集成计数器74LS161和两输入与非门74LS00组合控制,再高频率计数的过程中无法分辨数字,可视为随机数。

关键词:脉冲 555定时器六进制计数器随机数电骰子的设计与制作1 任务目标1. 用一开关代替掷骰子;2. 按下开关则LED 从1-6随机显示一点数;3. 用七段LED 显示点数2 设计思路2.1 系统框图本设计最关键的一是脉冲源的产生,二是循环方式的实现和控制,大致的系统框图如图2-1所示。

图2-1 系统框图脉冲信号由555定时器连接成的多谐振荡器,计数器部分采用74LS192或74LS161循环产生数字;译码器采用74LS48;显示器采用七段共阴极数码管2.2 电路工作原理说明如图2-2所示,右侧部分是由555定时器构成的多谐振荡器产生的脉冲源,送到集成计数器40192使其完成加计数,其输出通过数码管驱动器74LS48连接到数码管,将数字显示出来,当集成计数器40192计数到“7”时,将其三个高电平输出端通过一个三输入与非门74LS10反馈到集成计数器40192的异步置数端置“1”完成循环,由于脉冲源的频率较大,肉眼不能识别数码管上的数在不停得从1到6循环,因此可以认为数码管上显示的数是随机数。

电骰子电路设计全设计说明

电骰子电路设计全设计说明

电骰子电路设计全设计说明信息职业技术学院毕业设计说明书(论文)设计(论文)题目: 电骰子电路设计专业: 通信技术班级: 通技06-2 学号:姓名:指导教师:二ΟΟ八年十二月三十日信息职业技术学院毕业设计(论文)任务书备注:任务书由指导教师填写,一式二份。

其中学生一份,指导教师一份。

目录摘要 (1)第1章绪论 (2)第2章方案设计 (4)第3章单元电路设计 (7)3.1振荡电路设计 (7)3.2计数器电路设计 (8)3.2.1 计数器电路芯片的选择 (8)3.2.2 计数器电路组成 (9)3.3译码器电路设计 (10)3.3.1 译码器电路功能框图 (10)3.3.2 译码器电路设计 (10)3.4LED的驱动电路设计 (12)3.5时钟控制电路 (12)第4章电路仿真 (14)总结 (16)致谢 (17)参考文献 (18)附录总电路原理图 (19)摘要骰子是娱乐游戏的一种道具,可以用它来随机地选取1~6的数。

本电路设计一个电骰子电路,该电路由电骰子的电路结构决定。

电路由RC振荡电路、计数器电路、译码器电路、LED驱动电路、时钟控制电路五部分电路构成,其中计数器是整个电路的核心部分,而译码器在电路中没有采用原有的译码器,而用门电路来实现,是为了让电路更简单。

关键词振荡器;计数器;译码器第1章绪论骰子应用十分广泛,现在社会上流行有各种定位骰子、黄金骰子、打破无物骰子、感应骰子、遥控骰子等,它是娱乐游戏的一种道具,是许多娱乐必不可少的工具之一,比如麻将等。

早先是用骨头,木头等制成的立体小方块,六面分别刻有一、二、三、四、五、六点,只有1,2,3,4,5,6这六个数,有的地方叫色子。

用骨头、木头等制成的立体骰子,在使用时通常是把骰子摇动后掷落,以上面的数作为选取的数字。

这六个数中,每掷一次,其中某个数出现的概率是1/6。

所谓1/6的概率,就是说这六个数并不是按照某种顺序出现的,而是随机的。

如果撇开骰子的形状和使用方法的约束来抽象地评价它的功能,那么骰子就是一种“从1~6的数字中,每次只随机地选择1个数字的选择装置”。

课程设计电子骰子

课程设计电子骰子

电子技术课程设计报告设计题目:电子骰子姓名:徐兵学院:信息工程学院专业:通信工程班级:通信0902学号:200973102011年6月17日目录一、电子骰子设计目的及要求........ ..2二、电子骰子功能设计分析 (2)三、电路设计基本原理及主要件...... .21.原理设计框图.......................... ..22.设计原理说明.......................... (2)3.555定时器简介 (3)4.555定时器的组成和功能 (3)5. NE555的特点 46.CD4017功能简述 (5)7.CD4017引脚图 (5)8.CD4017原理图......................... (6)四、总体设计仿真图、原理图及PCB板图 (9)1. .................................................................................. 电子骰子仿真图 (9)1.电子骰子原理图 ....................... (9)2.电子骰子PCB板图 (10)五、.............................. 总结与体会111.总结 (11)2.体会 (11)六、...................................... 参考文献11一、电子骰子设计目的及要求1.电子骰子每按下开关一次的显示结果会在re数字中随机产生,以代替普通骰子。

2.总体要求用开关控制显示对应的数字。

5. NE555的特点 4二、电子骰子功能设计分析分析:(1)为了节约成本,我决定用发光二极管来替代数码管,亮灯的个数即为显示的数字,即是表示骰子的点数。

(2)用一按键开关,按下之后发光二极管会随机的变亮。

三、电路设计基本原理及主要器件1.原理设计框图:\E555振荡电路CD4017十进制计数器发光二极管显示灯设汁原理框图2.设计原理说明:该电子骰子电路山时钟发生电路和功能显示电路两部分组成。

(完整版)电骰子的电路图及制作

(完整版)电骰子的电路图及制作

电骰子的电路图及制作一、设计思路骰子是有六个面的正面体,分别刻有1~6的数字。

现在,我们撇开骰子的形状和和使用方法来抽象的评价它的功能,那么骰子就是一种从1~6中随机的选择1个数字的选择装置。

即我们现在设计的电骰子。

简单的说,就是当按下开关时,它能够从1~6中随机选择一个数字。

二、设计原理1、首先要确定电路的输入和输出部分,即来自外面的信号如何输入以及怎样表示电路的工作,来自外面的信号,也就是摇动骰子的信号可以利用按钮开关实现。

控制开关原理图如图1:图 1 开关电平的产生电路2、骰子的点数是从1~6的个位数,采用7段的LED表现骰子的点数。

也可以利用LED灯光表示其表现骰子的点数。

用六个LED分别作为1~6的数字,只要求其中某一个发光,也可实现点数的功能。

LED的配置为了区别这7个LED,作记号a~g图 2 骰子的点数(与骰子点数位置相同的LED发光)下面我们仅把其中发光组合相同的LED进行分组,下面是a和g分组所表示到得数字记 号 数 字 a,g 3,4,5,6 b,f 6c,e 2,4,5,6 d1,3,5图 3 LED 发光组合3、数字的表现方法。

从这个表可以看出实际上需要利用数字电路中常说的“译码器电路”。

我们来设计一个 例如,我们分析a 和g 这一组LED 在这种场合,只要它们对3,4,5,6这四个点数能够发光就可以了。

可以看出这种场合具有4输入OR 门相同的功能。

3 某一个为一 4 1”的时候LED 发光 56三、设计内容在基本框图的基础上,分别设计各方框的内容。

要求方框的内部电路必须能够保证信号在各方框图间方便的交换。

框图如图4下:图 4 设计内容框图1. 振荡电路。

用555定时器构成的多谐振荡器来实现方波的产生。

其方波周期为:120.7(2)T R R C ≈+原理图如图 5所示:摇动骰子 骰子滚动确定骰子的数字摁下开关时钟作用下启动 计数器计数器输出的作用下LED 发光,利用译码器。

电筛子数电课程设计

电筛子数电课程设计

电筛子数电课程设计一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解“电筛子”的工作原理和设计方法。

2. 使学生能够运用所学知识分析并设计简单的数字电路,如组合逻辑电路和时序逻辑电路。

3. 引导学生了解数字电路在实际应用中的优势,如稳定性好、可靠性高、易于集成等。

技能目标:1. 培养学生运用所学知识解决实际问题的能力,能独立完成电筛子数电实验。

2. 提高学生动手实践能力,学会使用数字电路设计软件进行电路设计和仿真。

3. 培养学生团队协作能力,能在小组讨论中发挥积极作用。

情感态度价值观目标:1. 激发学生对数字电路的兴趣,培养其探索精神和创新意识。

2. 引导学生关注科技发展,认识到数字电路在现代科技中的重要性。

3. 培养学生严谨的科学态度和良好的学习习惯,使其在学习过程中形成积极向上的心态。

本课程针对高年级学生,课程性质为理论联系实践,注重培养学生的动手能力和实际问题解决能力。

结合学生特点,课程目标既注重知识传授,又强调技能培养和情感态度价值观的引导。

通过具体的学习成果分解,为教学设计和评估提供明确依据,确保课程目标的实现。

二、教学内容本章节教学内容主要包括以下三个方面:1. 数字电路基础知识:- 数字逻辑基础:逻辑门、逻辑函数、逻辑代数。

- 组合逻辑电路:编码器、译码器、多路选择器、算术逻辑单元等。

- 时序逻辑电路:触发器、计数器、寄存器等。

2. 电筛子工作原理与设计方法:- 电筛子基本概念:筛选信号、时钟信号、复位信号等。

- 电筛子工作原理:同步筛选、异步筛选、串并行筛选等。

- 电筛子设计方法:组合逻辑电筛子、时序逻辑电筛子、状态机设计等。

3. 实践教学与案例分析:- 数字电路设计软件应用:Multisim、Protel等软件的使用方法。

- 实验教学:组合逻辑电路设计与验证、时序逻辑电路设计与验证、电筛子电路设计与仿真等。

- 案例分析:分析实际应用中的数字电路案例,如计算机中的控制单元、通信系统中的编码与解码等。

电工电子课程设计-电骰子-正文

电工电子课程设计-电骰子-正文

摘要此项设计主要利用了数字电子技术的基础知识,最终设计出一个能在LED上随机产生1至6的电骰子。

本文将从电骰子系统结构原理入手,并设计出两套初步的方案,从各方面比较两套方案的优劣后,选取最优方案,然后通过Proteus进行电路仿,仿真调试成功后即开始准备元器件在面包板上进行电路设计,随即开始仔细地调试电路,测试电路的各项功能,并改进不足。

最后,总结了自己设计电骰子的心得体会,归纳了电路设计和电路调试的方法,为以后的电路设计积累宝贵的经验。

关键词:电骰子 Proteus仿真调试绪论电骰子是一个理论联系实际很紧密的应用,本项设计从生活出发,充分利用我们所学的电路方面的知识,以小组为单位,完全自主地完成作品。

我们小组从一开始的信息收集到各自方案的设计等方面都表现得非常的积极活泼。

方案的确定工作进行地更为细致,由于我们小组每个成员的方案都相当优秀,难分高下,给确定最终方案带来了很大阻力。

后来我们小组内部决定,先各自独立完成自己的实物连接与调试,实物效果较稳定的作品再送往老师验收。

这样确保了每个成员都有验证自己亲手设计的作品的机会,而且最后验收也会万无一失。

在开始实物连接之前,我们组购买了充足的电子元器件,开销基本在50元左右。

实物连接与调试时,由于面包板和导线都非常新,而且各自都非常熟悉自己的电路连线图,所以实物连接进行的很快。

虽然调试时遇到了不少无法预料的故障困难,但是经过自己的独立思考,结合自己收集到的资料信息,最终还是克服重重障碍,顺利达到预期要求的功能,完成了作品。

电骰子的设计与制作1 结构设计与方案选择1.1 电骰子系统结构电骰子系统包含CP 脉冲部分、开关控制部分、6进制计数部分以及数码管显示部分等4个部分构成。

各部分的大致功能如下:CP 脉冲部分:产生一个频率比较高的时钟脉冲,让数码管上的数字快速跳动,这样拨动开关时,我们不能看清数码管上的数字,保证了摇骰子的公平性,既能够随机产生一个点数了;开关控制部分:拨动一下开关电骰子开始“摇动”,再拨动一下开关,骰子立即停止并显示一个数字;6进制计数部分:能够实现从1到6的六进制循环计数功能,保证骰子“摇动”的连贯性和随机性;数码管显示部分:显示摇出电骰子的点数,即用1、2、3、4、5、6共六个数字来表示电骰子的六种点数。

电骰子制作课程设计

电骰子制作课程设计

电骰子制作课程设计一、课程目标知识目标:1. 学生能理解电骰子的工作原理,掌握基本电子元件的使用方法。

2. 学生能描述电骰子电路的组成,解释各部分功能及其相互关系。

3. 学生了解概率与随机性的基本概念,并将其运用到电骰子实验中。

技能目标:1. 学生能够独立完成电骰子电路的搭建,提高动手实践能力。

2. 学生通过实际操作,学会使用仪器和工具进行电路调试,培养问题解决能力。

3. 学生能够运用所学知识,设计并改进电骰子电路,提高创新意识和团队协作能力。

情感态度价值观目标:1. 学生在学习过程中,培养对电子技术的兴趣和好奇心,激发学习热情。

2. 学生通过合作完成电骰子制作,培养团队精神,提高沟通与交流能力。

3. 学生在实验中体会到科学研究的严谨性,培养尊重事实、追求真理的科学态度。

课程性质:本课程为初中电子技术实践课程,结合物理、数学等学科知识,以学生动手实践为主,提高学生的综合素养。

学生特点:初中生对电子技术有一定的好奇心,动手能力强,喜欢探索新事物,但可能对电子元件的使用不够熟悉。

教学要求:教师需引导学生掌握基本电子元件知识,注重培养学生的动手实践能力和团队协作能力,同时关注学生的情感态度价值观的培养。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容1. 电子元件基础知识:介绍常用电子元件(如电阻、电容、二极管、三极管等)的原理与使用方法,关联教材中电子技术基础章节。

2. 电路原理与设计:讲解电路的基本原理,以电骰子电路为例,分析电路设计过程,对应教材中电路分析与设计章节。

3. 概率与随机性:引导学生理解概率的基本概念,通过电骰子实验,观察和分析随机现象,联系教材中概率与统计章节内容。

4. 电骰子制作实践:详细教学电骰子电路的搭建步骤,包括焊接、调试等环节,结合教材实践操作部分。

教学大纲安排:第一课时:电子元件基础知识学习,认识常用电子元件,了解其功能与用途。

第二课时:电路原理讲解,分析电骰子电路,学习电路设计方法。

电子骰子

电子骰子

题目:电子骰子学生姓名指导教师系(部)电子工程专业电气工程班级08级1班学号*********摘要:随着科学技术的发展,人们对电子制作有很大的兴趣。

在日常生活中,许多娱乐项目都用到了骰子,所以,我们通过学习的电子制作,应用NE555和4017两个芯片自己制作一个电子骰子。

关键词:NE555 计数器4017 电子骰子1总体设计:本设计是由NE555构成的多谐振荡器给4017提供一个频率5KHz的时钟信号。

在每一个时钟信号到来的时候,4017的10个输出Q0-Q9一次循环输出高电平。

因为在这个电路中只用到Q0~Q5六个输出信号,所以把Q6接到了4017的复位端,通过6个输出信号的不同组合构成了电子骰子的不同点数。

当按下“投骰子”按键时,4017的DISABLE输入端被置位为低电平,电子骰子开始工作。

2基本功能:当按下“投骰子”按键时,4017的DISABLE输入端被置位为低电平,电子骰子开始工作。

当放开按键时候,电子骰子显示你所投出的点数。

3主要技术参数:芯片NE555和40177个发光二极管6个整理二极管电阻和电容4器件介绍4.1NE555NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率之脉冲信号。

NE555的特点有:1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。

其延时范围极广,可由几微秒至几小时之久。

2.它的操作电源电压范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。

3.其输出端的供给电流大,可直接推动多种自动控制的负载。

4.它的计时精确度高、温度稳定度佳,且价格便宜。

5.静态电流最大值VCC = 5 V, RL = ∞=6mA VCC =15 V, RL = ∞=15mANE555引脚位功能配置说明下:引脚功能介绍:Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。

电筛子数电课程设计

电筛子数电课程设计

电筛子数电课程设计一、课程目标知识目标:1. 让学生掌握数字电子技术中的基本概念,如逻辑门、触发器、计数器等;2. 使学生了解电筛子(数字信号筛选器)的原理及其在数字电路中的应用;3. 引导学生理解数字电路的表示方法,如逻辑图、真值表等。

技能目标:1. 培养学生运用所学知识,分析并设计简单的数字电路;2. 提高学生动手实践能力,学会使用数字电子实验仪器和设备;3. 培养学生通过团队合作,解决数字电子技术问题的能力。

情感态度价值观目标:1. 激发学生对数字电子技术的学习兴趣,培养其探索精神和创新意识;2. 培养学生严谨、细致的学习态度,养成良好的实验操作习惯;3. 引导学生认识到数字电子技术在现代科技中的重要作用,增强其社会责任感和使命感。

课程性质:本课程为高二年级电子技术课程,以理论教学和实践操作相结合,注重培养学生的实际应用能力。

学生特点:高二学生具有一定的物理基础和电子技术知识,对新鲜事物充满好奇,具备一定的动手能力。

教学要求:结合学生特点,以启发式教学为主,注重理论与实践相结合,提高学生的知识水平和实践技能。

通过课程目标的具体分解,实现教学设计和评估的有机结合,确保学生达到预期学习成果。

二、教学内容本章节教学内容主要包括以下几部分:1. 数字电子技术基础理论:- 逻辑门电路:介绍与、或、非、与非、或非、异或等基本逻辑门的功能及相互关系;- 触发器:RS触发器、D触发器、JK触发器等及其功能和应用;- 计数器:二进制计数器、十进制计数器等原理及设计。

2. 电筛子原理与应用:- 电筛子概念:介绍电筛子的定义、功能及其在数字电路中的应用;- 电筛子电路:分析电筛子电路的组成、工作原理及性能特点;- 应用实例:展示电筛子在实际数字电路中的应用案例。

3. 数字电路设计方法:- 设计原理:介绍数字电路设计的基本原理和方法;- 设计实例:通过具体案例,引导学生学会分析并设计简单的数字电路;- 设计实践:安排学生进行数字电路设计实践,提高动手能力。

课程设计电子骰子(绝对完整版)

课程设计电子骰子(绝对完整版)

海南大学电子技术课程设计题目:电子骰子的设计学号:********B027姓名:***同组人员:学号:********B018姓名:***专业:08电子信息指导老师:***日期:2010年11月20日目录一、课程设计的目的二、电子骰子设计的功能要求三、设计方案的比较四、电路的基本原理五、总体电路设计仿真图六、心得体会七、参考文献电子骰子的设计一、课程设计目的本次课程设计都是为了将学过的电子技术课程的巩固,加强独立思考的能力,实现理论和实践相统一的目的。

通过继续巩固知识,将松散的各部分知识进行了系统的规划,应用于一项独立的设计当中。

启发了创新思想的能力。

二、电子骰子设计的功能要求(1)当将开关闭合的时候,电子骰子随机变化,从1~6,每隔0.5秒左右变化一次。

(2)在变化过程中,1的相邻变化状态不能是6,2的相邻变化状态不能是5,3的相邻的变化状态不能是4。

(3)当开关断开后,继续工作5秒左右停止变化。

(4)提醒,用状态机实现。

不允许用编程实现。

三、设计方案的比较1)方案一:主要运用到:单稳态电路、555压控振荡电路、六进制计数器、数码显示管以及开关电路。

仿真软件用到mu ltisim。

思路:单稳态:用来制作延时开关电路,达到延时5秒的功能以及数字以0.5秒的时间间隔变化功能。

555压控振荡电路:该电路输入是频率变化的电压信号,在电路仿真的过程中,我们发现,积分型单稳态触发电路(参考数字电子P469页),正脉冲触发,暂稳态输入为‘1’时,输出为‘0’;稳态输入为‘0’,输出为‘1’.在输入端接入一个脉冲信号后,虽然有延迟效果,但是即使开关断开后,数字依然会变化好长时间,与要求不符。

经过理论分析得出:积分单稳态本身就有一个脉冲波,不论开关闭合还是断开,单稳态都会工作,并没有真正的停止输出。

一、方案论证1、时钟信号源的选择时钟信号源可采用石英晶体多谐震荡器和555定时器。

555定时器是一种多用途的数字---模拟混合集成电路,能极方便的构成施密特触发器、单稳态触发器和多谐振荡器。

数电课程设计——电骰子

数电课程设计——电骰子

实习(设计)报告姓名:班级:09电61学号:09286007实习(设计)科目:电子综合技术设计实习(设计)地点:泉山校区8#J102,12#楼实习(设计)时间:2010.12.12 ~ 2011.12.23电气工程及自动化学院School of Electrical Engineering & Automation目录第一篇数字电路实习摘要 (3)1 前言 (3)2 电路设计 (4)2.1 方案论证及确定 (4)2.2 电路原理图及工作原理、参数计算 (4)3 电路制作 (7)3.1 电路板制作 (7)3.2 电路焊接及调试 (8)4 结束语 (9)5 参考文献 (10)第二篇数字电路设计摘要 (11)1 前言 (11)2 电路设计 (12)2.1 方案论证及确定 (12)2.2 电路原理图及工作原理、实现的功能 (15)2.3 电路参数计算 (22)3 结束语 (22)4 参考文献 (23)第一篇数字电路实习摘要循环彩灯可以使彩灯按照形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较广泛。

本设计就是采用电子元件制作的一个简易的循环彩灯控制器。

本电路系统由三部分组成,分别是:1.时钟振荡器电路,555定时器构成多谐振荡器;2.脉冲分配电路,由CC4017组成;3.显示电路,10个LED组成。

本电路以《数字电子技术》课程中所学的小规模集成电路SSI.中规模集成电路MSI.存储器ROM.RAM为基础,结合《电路原理》中所学的电阻R.电容C.电感L及《模拟电子技术》中所学的二极管、三极管器件,应用逻辑代数知识、逻辑电路设计方法设计了一个具有循环功能的数字逻辑电路——循环彩灯电路。

1、前言我们这次实习的目的是将在学校所学的专业知识与设计实际和生产实际相结合,进一步巩固、充实和拓展专业知识,为今后的专业课程及其产品设计打下坚实的基础。

、在专业实习过程中,我们通过观察和分析,一些实际设计案例,深入了解设计程序与方法。

基于CD4017的电子骰子的简单设计

基于CD4017的电子骰子的简单设计

设计题目:基于CD4017的电子骰子的简单设计成员 1 :成员 2 :班级:年级:2009级专业:通信专业学院:信息科学与工程学院基于CD4017的电子骰子的简单设计1.总体设计:本设计是由NE555构成的多谐振荡器给4017提供一个频率5KHz的时钟信号。

在每一个时钟信号到来的时候,4017的10个输出Q0-Q9一次循环输出高电平。

因为在这个电路中只用到Q0~Q5六个输出信号,所以把Q6接到了4017的复位端,通过6个输出信号的不同组合构成了电子骰子的不同点数。

当按下“投骰子”按键时,4017的DISABLE输入端被置位为低电平,电子骰子开始工作。

2.基本功能:当按下“投骰子”按键时,4017的DISABLE输入端被置位为低电平,电子骰子开始工作。

当放开按键时候,电子骰子显示你所投出的点数。

3.主要技术参数:元器件名称参数备注C1 22Fμ电解电容⨯1C2 10nF 电解电容⨯1D1~D6 1N4148 ⨯6D7~D13 Red Led 5mm ⨯7R1 2.2Ωk⨯2R2 10Ω⨯2R3 10Ωk⨯1R4 100Ωk⨯1R5~R8 8.2Ωk⨯4R9~R15 1Ωk⨯7开关⨯1CD4017(含基座)⨯1NE555(含基座)⨯1万用板10cm⨯10cm ⨯1电源5V ⨯14.器件介绍4.1NE555NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率之脉冲信号。

NE555的特点有:1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。

其延时范围极广,可由几微秒至几小时之久。

2.它的操作电源电压范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。

掷骰子游戏电路的设计与实现课程设计论文

掷骰子游戏电路的设计与实现课程设计论文

掷骰子游戏电路的设计与实现数字电路与逻辑设计实验报告学院:电子工程学院班级:学号姓名:指导老师:目录一、设计课题的任务要求 (3)1、基本要求 (3)2、提高要求 (3)二、系统设计 (3)1、设计思路 (3)2、总体框图 (4)3、分块设计 (6)三、仿真波形及波形分析 (7)1、第1局分出胜负 (7)2、第 2——5局分出胜负 (8)3、第6局之后分出胜负 (9)四、源程序 (9)1、主程序 (9)2、响铃程序 (26)五、功能说明及资源利用情况 (30)1、基本功能 (30)2、附加功能 (30)3、管口配置 (30)4、资源利用情况 (31)六、故障及问题分析 (31)1、按键次数与局数不一致 (31)2、比较输赢结果与预期不同 (32)3、数码管偶尔会出现乱码显示 (32)4、伪随机数产生有规律,随机性较差 (32)七、总结和结论 (32)1、选题总结.................................... 错误!未定义书签。

2、心得体会.................................... 错误!未定义书签。

一、设计课题的任务要求1、基本要求1、电路可供甲乙二人游戏,游戏者甲使用的按键为BTN0,游戏者乙使用的按键为BTN1。

2、每按一次按键,代表掷一次骰子,可随机得到1~6 范围内的两个数字。

3、甲乙按键产生的随机数字分别用数码管 DISP0-DISP1、DISP2-DISP3 显示,并用DISP7 显示比赛局数,比赛结束用8×8 点阵显示获胜方,并伴有声音效果。

4、具体游戏规则如下:(1)第一局比赛,甲乙依次各按一次按键,按键所得两数之和为7 或11 者胜;若无人取胜,则进行第二局比赛;(2)第二局比赛,甲乙每人各按一次按键,按键所得二数之和与第一局比赛相同者获胜,若无人获胜,则进行第三局比赛,重复进行步骤(2),直到出现胜者为止。

(3)在第六局比赛时,若重复进行步骤(2)仍未出现胜者,以按键所得两数之和最大者为获胜方,若依然未分出胜负,重复比大小直到出现胜者为止。

实验四:掷骰子游戏电路

实验四:掷骰子游戏电路

实验报告课程名称FPGA实验项目掷骰子游戏电路实验仪器Quartus 2系别专业____________班级/学号__________学生姓名__________________实验日期__________________成绩_______________________指导教师_____________________实验六数字电路系统实验——设计两人掷骰子比较点大小的游戏电路一、实验目的1.设计一个游戏电路并在实验装置上验证所设计的电路;2.建立自顶向下的设计思路。

二、实验要求A、B两人玩掷骰子的游戏,当A的点数大于B的点数时,输出H=“1”、L=E=“0”;当A的点数小于B的点数时,输出L=“1”、H=E=“0”;当A的点数等于B的点数时,输出E=“1”、H=L=“0”;并同时用两个数码管显示A、B两人的点数。

二.实验程序及原理图两人掷骰子比较点大小的游戏电路原理图(1)六进制计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter isport(a,clk,cr:in std_logic;b:out std_logic_vector(2 downto 0)); end;architecture m1 of counter issignal po:std_logic_vector(2 downto 0); beginprocess(clk,cr)beginif cr='1' then po<="000";elsif clk 'event and clk='1' thenif a='1' thenif po=6 then po<="001";else po<=po+1;end if;end if;end if;end process;b<=po;end;(2)比较器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity compair isport(a,b:in std_logic_vector(2 downto 0); H,L,E:out std_logic);end;architecture m1 of compair isbeginprocess(a,b)beginif a>b then H<='1';L<='0';E<='0';elsif a<b then H<='0';L<='1';E<='0';else H<='0';L<='0';E<='1';end if;end process;end;(3)位码选择器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity gate3_8 isport( clk:in std_logic;a: in std_logic_vector(2 downto 0);b: in std_logic_vector(2 downto 0);out2,out1:out std_logic_vector(2 downto 0)); end gate3_8;architecture m1 of gate3_8beginprocess(clk)beginif clk='1' then out1<=a ;out2<="010";else out1<=b ;out2<="011";end if;end process;end;(4)译码电路library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity show isport(out1:in std_logic_vector(2 downto 0); outp:out std_logic_vector(7 downto 0)); end;architecture m1 of show isbeginwith out1 selectoutp<="00111111" when "000","00000110" when "001","01011011" when "010","01011011" when "011","01100110" when "100","01101101" when "101","01111101" when others;end;。

(完整版)LED骰子电路设计

(完整版)LED骰子电路设计

目录第一章设计方案 (1)1.1设计思路 (1)第二章元器件及参数的选择 (2)2.1 标称值 (2)2.1.1 电容标称值 (2)2.2 色环电阻的识别 (2)2.2.1四环电阻 (3)2.2.2五环电阻 (3)2.3 电容 (4)2.4二极管 (4)2.4.1二极管的分类 (4)2.4.2二极管的极性判别 (4)2.5 555定时器 (5)2.5.1 特点功能 (5)2.5.2 555定时器构成多谐振荡器 (5)2.6 CD4017计数器 (5)2.6.1 功能符号 (6)第三章单元电路设计方案 (7)3.1多谐振荡器 (7)3.2 计数器部分 (7)3.3 LED显示部分 (7)第四章电路仿真调试及分析 (8)4.1 电路仿真 (8)4.2 硬件调试 (9)4.2.1 调试过程 (9)第五章总结 (10)第一章设计方案1.1设计思路骰子是有六个面的正面体,分别刻有1~6的数字。

现在,我们撇开骰子的形状和和使用方法来抽象的评价它的功能,那么骰子就是一种从1~6中随机的选择1个数字的选择装置。

即我们现在设计的电骰子。

简单的说,就是当按下开关时,它能够从1~6中随机选择一个数字。

本系统以NE555构成的多谐振荡器和CD4017十进制计数器/脉冲分配器组成。

7颗发光二极管模拟骰子的点数,当按下启动键1秒以上,发光二极管安骰子的不同点数高速循环点亮,几秒钟后循环速度减慢并最终随机停止于某个点上。

骰子只处理从1~6的数字,但是根据骰子点数的形状需要配置7个LED。

通过其中某几个LED发光,表现骰子的点数。

7个LED的位置分别用a~g字母表示。

7个LED发光的所有组合形式计算起来非常多。

这里仅把其中发光组合相同的LED进行分组,表1是LED灯的分组情况。

从这个结构看出可以分成4个组。

从表中可以看出如果骰子的3,4,5,6点数发光必须要有a和g这两个LED。

所以,我们就需要计数器来控制LED灯的亮灭。

计数器的计数动作(计算数字)由输入时钟来决定。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Your company slogan

text2
text4

Your company slogan
Related Documents
经过一学期《数字电子技术》这门课的学习, 在理论上有了一定的认识, 但在动手操作上却存在很大的问题. 因此,这次课程设计,不仅让我在动手操作方面有了很大的进步,而且还弥补了一些理论上的不足. 尽管以前拆装过一些小玩具或者收音机之类的小电器,但是那只是童年的一些乐趣而已. 虽然我们也做过一些数字电路或者其他电路方面的实验,但是那些基本上都是一些验证性的实验, 所以对我们对这门课的认识提升不高. 因此,当我们开始这门课程设计时,我潜心准备,上网,在图书馆查阅了大量的资料, 最终选定了《电骰子的制作》这一课题, 一是因为这个比较接近生活,二是根据自己的能力选择了合适的路. 当老师将所有的元件发给我们的时候, 我有些迷惑,因为有好多东西都是第一次接触, 比如面包板…….有的东西知道是什么,但是不会区分,比如瓷片电容和电解电容. 所以,实践还是需要理论来支撑. 我开始查阅资料,认真去了解这些元件的功能,比如如何区分二极管和电容的阴阳极(或者正负极), 如何认识三极管的b,c,e极,TTL门电路的特性,如何使用万能表等. 经过一番补充,终于可以动手了,我认真按照电路图将实物连了起来. 可是当我觉得已经连接好了的时候,我却没有得到预期的结果. 这时,看着繁琐的电路,有点想要放弃,但是当我看到别的小组已经做出结果的时候. 我又有点不甘心,首先,我开始用万能表测试,导线都是否连通, 经过检查,意外的发现,有的导线,看上去它是连通着的, 但实际上它并没有连通,于是我或者换根导线,或者将导线绕行,经过一番细心的检查, 我确定电路已经按照图纸连接号了,但意外的是,仍没有出现想要的结果 我放佛体会到了一些人生的哲理,比如,什么事情我们不能只看表面,现实和理论的差别等. 相信这次课程设计一定会在我的人生道路上起到一定的作用
text1
text2
text3
Your company slogan
Related Documents
text1
text3
[1]阎石编著.数字电子技术基础.高等教育出版社.2003 [2]郑家龙.集成电子技术基础教程.北京:高等教育出版社.2002 [3]华成英,童诗白编著.模拟电子技术基础.高等教育出版社.2003 [4][日]汤山俊夫编著.彭军译.数字电路的设计与制作.科学出版社.2003 [5]康华光.电子技术基础:数字部分.4版.北京:高等教育出版社.2000年
LED排列阵
译码电路 时钟控制电路
& 六进制计数器
脉冲信号电路
Your company slogan
原理图
1.六进制计数电路这是电骰子的核心部分. 74系列中具有六进制 功能的产品有7492. 不过这种产品比较陈旧,也没有系列化(只有TTL标准型). 所以这里利用触发器制作专业的计数器. 六进制计数器是n进制计数器中n=6的形式. 希望尽量用简单的电路制作,所以计数器采用非同型计数器. 用非同型计数器制作n进制计数器时, 是把触发器并列并对它输出解码,当为n的时候对整个计数器复位.
Your company slogan
实物图
Your company slogan
Chart Documents
1st Qtr
2nd Qtr
3rd Qtr
4th Qtr
Your company slogan
Related Documents
试验器件清单: 试验器件清单 六反相器74LS04×2 双与非门74LS00×2 555定时器 双D触发器74LS74×3 发光二极管LED×7 开关一个 面包板 导线若干 电阻
LOGO

电 设计
数 电 课 设计
Table of Contents
1

2
真电
3
实 图
4
件清单 件清单
Your company slogan
原理图
摇动骰子 摁下开关
骰子滚动
时钟作用下启动计时器
计数器输出的作用下,LED发光.利用译码器 确定骰子的点数
Your company slogan
原理图
相关文档
最新文档