数字逻辑电路课程课程设计--简易加减计算器

合集下载

简易计算器的课程设计

简易计算器的课程设计

简易计算器的课程设计一、课程目标知识目标:1. 学生能理解简易计算器的内部工作原理,掌握其基本功能操作。

2. 学生能运用计算器进行基本的算术运算,包括加、减、乘、除以及百分比计算。

3. 学生理解并掌握计算器上各个按键的功能及其在数学运算中的应用。

技能目标:1. 学生能够使用计算器辅助解决实际问题,如购物找零、面积计算等。

2. 学生通过计算器的使用,提高数据输入、结果读取和运算过程纠错的能力。

3. 学生能够结合数学知识,利用计算器进行数据的简单统计分析。

情感态度价值观目标:1. 培养学生对待科学技术的积极态度,认识到计算器在日常生活和学习中的便捷性。

2. 增强学生的团队协作意识,通过小组活动,学会分享和交流使用计算器的经验。

3. 培养学生遵守计算器使用的规则,养成良好的计算器使用习惯,珍惜公共资源。

本课程设计针对的学生群体为小学高年级学生,他们已经具备了一定的数学基础和操作简单电子设备的能力。

课程性质为实用技能型,旨在通过简易计算器的教学,将理论知识与实践操作相结合,提高学生解决实际问题的能力。

在教学要求上,注重培养学生的动手操作能力和创新思维,同时强调情感态度的培养,使学生在学习技能的同时,也能形成正确的价值观。

通过具体学习成果的分解,教师可进行有针对性的教学设计和学习效果评估。

二、教学内容本章节教学内容依据课程目标,结合教材内容,科学系统地组织以下部分:1. 简易计算器基础知识:介绍计算器的发展历程、种类及简易计算器的结构组成,对应教材第二章第一节。

2. 计算器按键功能:详细讲解各按键的功能及其在数学运算中的应用,对应教材第二章第二节。

3. 基本运算操作:教授如何使用计算器进行加、减、乘、除以及百分比计算,对应教材第二章第三节。

4. 计算器在实际应用中的使用:结合实际案例,如购物找零、面积计算等,展示计算器的实用性,对应教材第二章第四节。

5. 数据的简单统计分析:利用计算器进行数据输入、处理和简单统计分析,对应教材第二章第五节。

简易加减计数器

简易加减计数器

1.设计目的1、综合运用相关课程中所学到的知识去完成设计课题。

2、学会电路的设计与仿真。

3、能自己熟练连接实现逻辑电路。

4、掌握Proteus的基本用法。

5、掌握74LS283N芯片的逻辑功能和译码显示器的使用方法。

2.设计要求1、用于两位以下十进制的加减运算。

2、以合适方式显示输入数据及计算结果。

3、设计要求被减数大于或等于减数。

3.总体设计3.1电路方框图图1电路方框图3.2工作原理先利用单刀双掷开关将加数(减数)与被加数(被减数)置入,然后通过将加数(减数)通过异或门进行逻辑组合,再通过74LS283N 实现8421码的转换,最后接上7段数码管显示结果。

4.单元电路设计与分析4.1加法电路加法电路原理图如图1所示:图1加法电路4.2减法电路图2减法电路4.3元件清单以及元件介绍2、设计思路第一步,置入两个四位二进制数。

例如(1001),(0011)和(0101),(1000),同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。

第二步,通过开关选择加(减)运算方式;第三步,若选择加运算方式所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。

即:若选择加法运算方式,则(1000)+(0110)=(1110)十进制8+6=14并在七段译码显示器上显示14。

若选择减法运算方式,则(0101)-(1000)=(10011)十进制5-8=-3,并在七段译码显示器上显示-3。

3、运算方案方案一通过开关J1-J8接不同的高低电平来控制输入端所置的两个一位十进制数,J1-J4控制第一个数A,J5-J8控制第二个数B,译码显示器U12和U13分别显示所置入的两个数。

数A直接置入四位超前进位加法器74LS283N的A4-A1端,74LS283的B4-B1端接四个2输入异或门。

四个2输入异或门的一输入端同时接到开关J9上,另一输入端分别接开关J5-J8,通过开关J5-J8控制数B的输入。

加减计算器电子课程设计

加减计算器电子课程设计

加减计算器电子课程设计一、课程目标知识目标:1. 学生能理解加减计算器的基本原理,掌握电子元件的功能和使用方法。

2. 学生能运用所学的数学知识,设计并搭建一个简单的加减计算器电路。

3. 学生了解电子技术在日常生活中的应用,理解电子计算器的发展历程。

技能目标:1. 学生能运用所学知识,分析问题,提出解决方案,具备初步的电子电路设计和搭建能力。

2. 学生能通过实际操作,提高动手能力,培养观察能力和问题解决能力。

3. 学生能通过团队合作,学会沟通与协作,提高项目执行能力。

情感态度价值观目标:1. 学生对电子技术产生兴趣,激发学习热情,培养科技创新意识。

2. 学生在课程学习中,培养耐心、细心和专注的品质,养成严谨的科学态度。

3. 学生通过实践,体会团队合作的重要性,培养集体荣誉感和责任感。

课程性质:本课程为实践性课程,结合数学和电子技术知识,培养学生的动手能力和问题解决能力。

学生特点:六年级学生具备一定的数学知识基础,好奇心强,喜欢动手操作,但可能对电子技术了解较少。

教学要求:教师需引导学生运用所学知识,注重实践操作,鼓励学生思考、提问,培养其创新精神和团队合作能力。

通过课程目标的具体分解,使学生在实践中达成学习成果,提高综合素养。

二、教学内容1. 电子元件基础知识:介绍电子元件的分类、功能及使用方法,如电阻、电容、二极管、三极管等。

- 教材章节:电子技术基础2. 加减计算器原理:讲解加减计算器的基本工作原理,引导学生理解数字电路的运算过程。

- 教材章节:数字电路基础3. 电路设计与搭建:指导学生运用电子元件,设计并搭建一个简单的加减计算器电路。

- 教材章节:电路设计与实践4. 数学知识应用:结合教材中的数学知识,分析加减计算器电路中的数值计算过程。

- 教材章节:数学基础知识5. 电子计算器发展史:介绍电子计算器的发展历程,使学生了解科技进步对社会发展的推动作用。

- 教材章节:电子技术发展史6. 团队合作与沟通:通过分组合作,培养学生的团队协作能力和沟通能力。

数字逻辑电路课程课程设计--简易加减计算器

数字逻辑电路课程课程设计--简易加减计算器

摘要本次课程设计的任务是设计一个具有加减运算功能的简易计算器,并通过合适的方式来显示最后的计算结果。

此次设计电路的完成主要是利用简单的数字电路和电路逻辑运算来进行的。

简易加减计算器电路主要是对数据的输入与显示,数据的加减运算,数据的输出与显示三个主要的方面来设计研究完成的。

在输入电路的部分,我们通过开关的闭合与断开来实现数据的输入,开关闭合接入高电平“1”,断开接入低电平“0”。

而输入的数据将通过显示译码管以十进制的形式显示出来。

由于输入二进制的位数较多,我们采用个位十位分别输入的方式来简化电路。

加减运算电路则主要通过加法器来实现的。

设计电路时,我们将个位和个位、十位和十位分别接入一片加法器。

在进行加法运算时我们所选择的加法器是完全符合要求的,但是在进行减法运算时加法器就不能满足我们的设计要求了。

因此我们将减法转换为加法进行运算,运算时采用补码的形式。

在进行减法时通过异或门将减数的原码全部转换为补码,输入加法器中进行相加。

最后将进位信号加到十位的运算电路上就实现了加减法的运算电路。

在显示电路中,由加法器输出的数据是二进制码。

这些码可能表示超过十的数字,所以显示译码管就不能正确的显示出数字了。

此时要将二进制转化成BCD码,再将BCD 码送到显示译码管中就可以将计算所得的数字显示出来了。

概述1.1设计题目:简易加减计算器1.2设计任务和要求:1)用于两位以下十进制数的加减运算。

2)以合适的方式显示输入数据及计算结果。

1.3设计方案比较:方案一:输入十进制的数字,再通过编码器对十进制的数字进行编码,输出二进制的数据。

运用显示译码器对输入的数字以十进制的形式进行显示。

在进行加减计算的时候将二进制数字运用数模转换,然后再进行相加减。

然后将这些模拟信号再次转换成数字信号转换成数字信号,再将数字信号输入到显示译码管中来显示数剧。

这个方案中要进行数模转换和模数转换所需要的电路器件有些复杂,并且转换的时候需要很长的时间,而且转换以后数值的精度不高。

简易加减计算器的设计

简易加减计算器的设计

简易加减计算器的设计一设计任务和要求1自选器件制作一个简易计算器实现二位以下十进制数的加减计算2进一步掌握数字电子技术课程所学的理论知识。

3熟悉几种常见的二——十进制编码器芯片、加法器芯片和八段字符型LED显示芯片,掌握其工作原理及其使用方法,并能够熟的练将其组合连接,使其构成简单的加减计算器,实现二位以下的十进制数的加减计算。

4了解数字系统设计的基本思想方法,学会科学分析和解决问题,培养认真严谨的工作作风和实事求是的工作态度。

二原理电路设计。

(1)方案比较方案一:该方案有三大块组成:编码输入电路、加减运算电路和显示电路。

编码输入电路:和方案一相同,我们采用二——十进制编码器作为编码输入器件,它可将我们输入的十进制数对应的编译成对应的二进制BCD代码,输入到运算电路。

加减运算电路:加减运算电路主要由74LS283(四位超前进位加法器)和进位信号门电路组成。

由于编码电路送过来的代码信号都是反码的形式,所以我们首先采用非门电路获得正确的代码,仍然采用个位和个位相加,十位和十位的相加的原则,将两个的个位的代码送入到一个加法器中,但是加法器只可以做加法运算,不满足我们的设计要求,因此,我们采用异或门电路,将一个位作为减数的代码与异或门电路异或,目的是取反,得到其反码,再将进位信号接入异或门控制电路,进位相当于加一,这样我们就得到了减数的补码。

同样的,74LS283也是一个十六进制的芯片,不满足我们的要求,我们仍然是用组合逻辑电路,设计一个进位信号,这里我们采用两片74LS283,第一片运算编码电路送过来的代码,当其运算结果大于9时,由组合逻辑电路产生进位信号。

当运算结果为16、17、18时,我们将进位输出信号与组合逻辑电路进位信号做或运算,这样我们就得到了合适的进位了。

可是怎样才可以得到正确的十进制数的代码呢,在其进行加法运算时,我们在第二片加法器上认为加上0110代码,将其与第一片加法器的运算结果做和,这样就得到了我们所需要的云算结果了。

简易加减计算器设计

简易加减计算器设计

简易加减计算器设计一、引言计算器是一种用于进行数学计算的设备,它可以帮助我们进行各种加减乘除等运算。

本篇文章将介绍如何设计一个简易的加减计算器,该计算器基于数字电路的原理,通过逻辑门电路实现加法和减法运算。

二、设计思路1.确定输入和输出2.设计加法电路加法可以通过逻辑门电路实现,其中最基本的逻辑门是异或门。

我们可以使用多个异或门来实现加法,具体的实现方法如下:-使用8个异或门分别对两个二进制数的对应位进行异或运算,得到8个中间结果;-使用7个与门分别对中间结果和进位信号进行与运算,得到7个进位信号;-使用7个或门分别对进位信号进行或运算,得到进位输出;-使用一个或门对中间结果和进位输出进行或运算,得到最终的结果。

3.设计减法电路减法可以通过将减数取反然后与被减数进行加法运算来实现。

具体的实现方法如下:-对减数取反,可以使用8个反相器实现;-将取反后的减数和被减数输入到加法电路中进行加法运算,得到结果。

三、电路实现根据上述设计思路,我们可以使用数字集成电路来实现加减计算器。

以下是一个基于数字集成电路74LS83A的简易加减计算器电路图:```______________,---,A+B,----,S_______,,_____________,______---,M,---_______,,_________________-----------,B'+1=B__________```在上述电路图中,A和B分别表示两个8位二进制数的输入,S表示计算结果的输出,M表示减号操作符的输入,B'+1表示减数的取反。

四、测试与验证为了验证电路的正确性,我们需要进行测试。

我们可以编写一个测试程序,将各种情况的输入输入到电路中,并检查输出结果是否符合预期。

测试例子如下:输入:M=0预期输出:输入:M=1预期输出:通过编写测试程序,并根据预期结果检查输出结果,可以验证电路设计的正确性。

五、总结本篇文章介绍了如何设计一个简易的加减计算器,该计算器基于数字电路的原理,通过逻辑门电路实现加法和减法运算。

简易加减计算器设计(数电)

简易加减计算器设计(数电)

电子技术课程设计电气与信息工程学院建筑电气与智能化专业题目:简易加减计算器设计姓名:徐雪娇学号:094412110指导教师:祁林简易加减计算器设计一、设计目的1、在前导验证性认知实验基础上,进行更高层次的命题设计实验.2、在教师指导下独立查阅资料、设计、特定功能的电子电路。

3、培养利用数字电路知识,解决电子线路中常见实际问题的能力.4、积累电子制作经验,巩固基础、培养技能、追求创新、走向实用。

5、培养严肃认真的工作作风和严谨的科学态度。

二、设计要求1、用于两位一下十进制的加减运算。

2、以合适方式显示输入数据及计算结果。

三、总体设计第一步置入两个四位二进制数。

例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。

第二步通过开关选择加(减)运算方式;第三步若选择加运算方式所置数送入加法运算电路进行运算;同理若选择减运算方式,则所置数送入减法运算电路运算;第四步前面所得结果通过另外两个七段译码器显示。

即:方案一通过开关J1-J8接不同的高低电平来控制输入端所置的两个一位十进制数,译码显示器U10和U13分别显示所置入的两个数。

数A直接置入四位超前进位加法器74LS283的A4-A1端,74LS283的B4-B1端接四个2输入异或门。

四个2输入异或门的一输入端同时接到开关S1上,另一输入端分别接开关J5-J8,通过开关J5-J8控制数B的输入。

当开关S1接低电平时,B与0异或的结果为B,通过加法器74LS283完成两个数A和B的相加。

当开关J1接高电平时,B与1异或的结果为B非,置入的数B在74LS283的输入端为B的反码,且74LS283的进位信号C0为1,其完成S=A+B(反码)+1,实际上其计算的结果为S=A-B完成减法运算。

由于译码显示器只能显示0-9,所以当A+B>9时不能显示,我们在此用另一片芯片74LS283完成二进制码与8421BCD码的转换,即S>9(1001)时加上6(0110)2,产生的进位信号送入译码器U12来显示结果的十位,U11 2显示结果的个位。

简易加减计算器

简易加减计算器

简易加减计算器河南城建学院河南城建学院数字逻辑电路课程设计报告简易加减计算器设计姓名: 张凯学号: 061408255 专业班级: 计算机科学与技术指导老师: 樊晓虹、周焱、赵红梅、梁成武所在院系: 计算机科学与工程系2010年9月 3 日河南城建学院本科课程设计报告1摘要这次的课程设计的任务是设计一个具备加减运算功能的电路,能够通过开关控制进行加法计算和减法计算,并利用LED灯显示计算结果。

通过对计数器功能的研究和上网查了多方面的资料。

我们拟定了如下的设计方案:该方案主要有3个主要版块组成:编码输入电路、加减运算电路和显示电路。

输入电路: 我们通过开关的闭合和开通来代表电平的高地位继而代表0和1来输入所要计算的十进制数字。

加减运算电路:加减运算电路主要由,,,,1,,(双全加器)的级联电路组成。

通过异或门将原码全部转换为补码,然后采用个位和个位相加,十位和十位的相加的原则,将两个的个位的代码送入到一个加法器中,将进位依次传递。

但是加法器只可以做加法运算,不满足我们的设计要求,于是我们将减法也变成加法进行运算,运算都走补码,最后再将结果在转换为原码,通过LED灯显示出来。

显示电路:显示电路时通过7段显示译码器来实现的。

河南城建学院本科课程设计报告2指导教师评语:课程设计成绩评定成绩等级:指导教师签字:年月日3目录一(概述 ..................................................................... . (5)1.1 设计题目 ..................................................................... . (5)1.2 设计任务和要求 ..................................................................... .. 51.3 设计方案 ..................................................................... ............. 5 二(系统总体方案 ..................................................................... (7)2.1 输入电路设计 ..................................................................... .. (7)2.2 加减运算电路 ..................................................................... .. (7)2.3显示电路 ..................................................................... . (8)2.4总体电路的设计 ..................................................................... .. (9)三(软件仿真 ..................................................................... (10)3.1仿真结果: .................................................................... ........... 10 四(设计体会 ..................................................................... (11)参考文献: .................................................................... .. (11)4概述1.1 设计题目简易加减法计数器设计1.2 设计任务和要求1、选器件制作一个简易计算器实现二位以下十进制数的加减计算2、一步掌握数字电子技术课程所学的理论知识。

简易加法计算器课程设计

简易加法计算器课程设计

简易加法计算器课程设计一、教学目标本课程的学习目标主要包括知识目标、技能目标和情感态度价值观目标。

知识目标要求学生掌握简易加法计算器的基本原理和操作方法;技能目标要求学生能够运用简易加法计算器进行简单的数学计算,并能够进行基本的故障排查;情感态度价值观目标要求学生培养对科学的兴趣和好奇心,培养动手能力和团队协作精神。

二、教学内容本课程的教学内容主要包括简易加法计算器的原理、操作方法和应用。

首先,介绍简易加法计算器的基本原理,使学生了解其工作原理;然后,通过讲解和示范,教授学生如何使用简易加法计算器进行数学计算;最后,结合实际案例,让学生学会如何运用简易加法计算器解决实际问题。

三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法。

讲授法用于讲解简易加法计算器的原理和操作方法;讨论法用于引导学生探讨和解决问题;案例分析法用于分析实际案例,让学生学会运用简易加法计算器解决实际问题;实验法用于让学生动手操作,培养实践能力。

四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将选择和准备以下教学资源:教材《简易加法计算器》,用于指导学生学习;参考书,用于拓展学生的知识视野;多媒体资料,用于辅助讲解和展示;实验设备,用于让学生动手操作。

五、教学评估本课程的评估方式包括平时表现、作业和考试等。

平时表现评估学生的课堂参与度和团队协作能力;作业评估学生的学习效果和理解能力;考试评估学生对课程知识的掌握程度和应用能力。

评估方式应客观、公正,能够全面反映学生的学习成果。

六、教学安排本课程的教学安排规定了教学进度、教学时间和教学地点等。

教学进度按照教材的章节和教学内容进行安排;教学时间合理安排在上课日和上课时间,确保在有限的时间内完成教学任务;教学地点选择适合进行课程的教室和实验室。

七、差异化教学根据学生的不同学习风格、兴趣和能力水平,本课程设计差异化的教学活动和评估方式。

针对不同学生的学习需求,提供不同难度的教学内容和案例,让学生根据自己的能力进行学习;同时,提供多样化的学习资源,满足学生的不同兴趣和需求。

简易计算器电路课程设计

简易计算器电路课程设计

简易计算器电路课程设计一、课程目标知识目标:1. 学生能理解简易计算器电路的基本原理,掌握计算器各部分电路的功能和相互关系。

2. 学生能够运用所学的电子元件,如电阻、电容、二极管等,搭建一个简单的计算器电路。

3. 学生能够解释计算器电路中的数字逻辑运算过程,如加、减、乘、除等基本运算。

技能目标:1. 学生能够运用电路图设计软件,绘制简易计算器电路图,并进行电路仿真。

2. 学生能够运用焊接工具,动手组装和调试简易计算器电路,解决电路中可能出现的问题。

3. 学生能够通过小组合作,进行电路设计和搭建,提高团队协作能力和问题解决能力。

情感态度价值观目标:1. 学生通过动手实践,培养对电子技术的兴趣和爱好,激发创新意识和探索精神。

2. 学生在电路设计和搭建过程中,培养耐心、细心和严谨的科学态度。

3. 学生通过学习简易计算器电路,认识到电子技术在日常生活中的重要性,增强环保意识和节能意识。

课程性质:本课程为实践性较强的电子技术课程,旨在让学生通过动手实践,掌握简易计算器电路的设计和搭建。

学生特点:学生为初中生,对电子技术有一定的好奇心,具备基本的物理知识和动手能力。

教学要求:注重理论与实践相结合,引导学生主动参与,培养创新思维和实际操作能力。

将课程目标分解为具体的学习成果,以便后续教学设计和评估。

二、教学内容1. 电路基础知识回顾:电阻、电容、二极管等电子元件的特性及在电路中的作用。

2. 数字逻辑基础:逻辑门电路的原理与功能,重点讲解与门、或门、非门等基本逻辑门。

3. 简易计算器电路原理:介绍计算器电路的基本结构,包括电源、输入部分、处理部分和显示部分。

- 电源:讲解如何为计算器电路提供稳定的电源。

- 输入部分:讲解按键扫描电路的原理,使学生理解如何将按键输入转化为电路信号。

- 处理部分:讲解算术逻辑单元(ALU)的功能,重点介绍加法器、寄存器等组成部分。

- 显示部分:介绍七段显示器的原理,使学生了解数字显示的电路实现方式。

数电课程设计简易计算器

数电课程设计简易计算器

数电课程设计简易计算器辽宁工业大学数字电子技术基础课程设计(论文)题目:简易计算器课程设计(论文)任务及评语摘要这次的课程设计的任务是设计一个具备加减乘运算功能的电路,能够通过开关控制进行加减法计算和乘法计算,并利用LED灯显示计算结果。

本次设计通过开关的闭合和开通来代表电平的高地位继而代表0和1来输入所要计算的十进制数字.加减运算电路主要由74LS283的级联电路组成。

通过异或门将原码全部转换为补码,然后采用个位和个位相加,十位和十位的相加的原则,将两个的个位的代码送入到一个加法器中,将进位依次传递.但是加法器只可以做加法运算,不满足我们的设计要求,于是我们将减法也变成加法进行运算,运算都为补码,最后再将结果在转换为原码,通过LED灯显示出来。

乘法运算电路主要由移位寄存器74LS194和加法器74LS283组成。

显示电路主要由7段显示译码器构成,将加减和乘法运算电路计算所得的运算结果每4为输入到一块7448芯片中,按高低位排列就得到了我们所需要的十进制的运算结果了。

关键词:运算;反码;LED显示;移位寄存器目录第1章绪论 (1)1。

1简易计算器概况 (1)1。

2本文设计要求 (1)1.3方案论证 (2)1。

4总体设计方案 (2)第2章简易计算器各单元电路设计 (3)2。

1简易计算加法电路设计 (3)2.2简易计算器减法电路设计 (4)2。

3乘法运算电路设计 (5)2.4译码显示电路设计 (6)第3章简易计算器整体电路设计 (8)3。

1系统原理图 (8)3.2部分电路仿真 (9)3.2.1 加法电路仿真 (9)3。

2。

2 减法电路仿真 (10)第4章设计总结 (11)参考文献 (12)附录 (13)第1章绪论1.1简易计算器概况提起计算器,值得我们骄傲的是最早的计算工具诞生在中国.中国古代最早采用的一种计算工具叫筹策,又叫做算筹,这种算筹多用竹子制成,也有用木头、兽骨充当材料的,约270,枚一束,放在布袋里克随身携带.17世纪初,西方国家的计算工具有了较大的发展,英国数学家纳皮尔发明的“纳皮尔算筹”,英国牧师奥却德法发明了圆柱形对数计算尺,这种计算尺不仅能做加减乘除、乘方、开方运算,甚至可以计算三角函数、指数函数和对数函数,这些计算工具不仅带动了计算机的发展,也为现代计算器的发展奠定了良好的基础,计算器已经成为现代社会应用广泛的计算工具。

简易计算器的课程设计

简易计算器的课程设计

简易计算器的课程设计一、课程目标知识目标:1. 学生能理解简易计算器的基本原理,掌握其功能和使用方法。

2. 学生能运用所学的数学知识,如加、减、乘、除等运算,进行计算器操作。

3. 学生了解计算器在日常生活和学习中的应用场景。

技能目标:1. 学生能够熟练操作简易计算器,完成基本的数学运算。

2. 学生通过计算器解决实际问题,提高问题解决能力和逻辑思维能力。

3. 学生通过小组合作,培养团队协作能力和沟通能力。

情感态度价值观目标:1. 学生培养对数学学习的兴趣,认识到数学与生活的紧密联系。

2. 学生在学习过程中,培养细心、耐心和严谨的学习态度。

3. 学生通过计算器的使用,认识到科技进步对生活的影响,增强科技意识。

课程性质:本课程为实践操作课程,结合数学知识,培养学生的实际操作能力和问题解决能力。

学生特点:考虑到学生所在年级的特点,课程内容难度适中,注重激发学生的学习兴趣和动手能力。

教学要求:教师应注重理论与实践相结合,关注学生的个别差异,引导他们主动探索和解决问题。

在教学过程中,将目标分解为具体的学习成果,以便进行有效的教学设计和评估。

二、教学内容1. 计算器的发展历史及分类- 了解计算器的演变过程,认识不同类型的计算器及其功能特点。

2. 简易计算器的结构组成- 学习简易计算器的按键功能、显示屏幕、电池等组成部分。

3. 基本数学运算操作- 学习运用计算器进行加、减、乘、除等基本数学运算。

4. 计算器在生活中的应用实例- 分析计算器在购物、预算、科学研究等领域的实际应用。

5. 计算器操作技巧与注意事项- 掌握计算器操作的快捷键,了解使用计算器时的注意事项。

6. 解决实际问题的方法- 结合实际问题,运用计算器进行数据计算和问题分析。

教学内容安排与进度:第一课时:计算器的发展历史及分类,简易计算器的结构组成。

第二课时:基本数学运算操作,计算器在生活中的应用实例。

第三课时:计算器操作技巧与注意事项,解决实际问题的方法。

数字逻辑与数字系统课程设计简单计算器

数字逻辑与数字系统课程设计简单计算器

简单计算器一、设计分析1、功能描述设计一个简单0-9数之间的加、减、乘法运算的计算器,,输入和输出均可以显示在数码管上。

2、实现工具1、用VHDL 语言文本形式输入;2、maxplusII行语言编写时序仿真和综合。

二、设计思想采用自顶向下的设计方式,分层进行设计。

设计分为五个模块进行;计算器模块、八位二进制数转化成8421BCD码模块,四选一数据选择器模块,七段显示译码器模块、模4计数器模块、模8计数器块、3—8译码器块。

顶层设计可以完全独立于目标器件芯片物理结构的硬件描述语言。

使用VHDL模型在所综合级别上对硬件设计进行说明、建模和仿真。

1、顶层原原理框图2、具体实现1、计算器模块、2、八位二进制数转化成8421BCD码模块3、四选一数据选择器模块4、七段显示译码器模块5、模4计数器模块6、模8计数器块7、3—8译码器块三、设计过程1、建立工程建立一个Project,命名为jiandanjisuanqi。

将各个模块生成的文件放在同一个文件夹下。

2、文本输入将各个模块的VHDL代码输入,保存并综合。

3、仿真建立各个模块的gdf图,设置输入波形并仿真。

4、顶层原理图输入利用各个模块生成的sym文件建立顶层原理图,编译并仿真。

5、硬件实现实验室提供的器件为FLEX10K,型号为EPF10K10LC84-4,将文件下载到器件当中,在实验箱中进行模拟。

四、整体框图五、VHDL部分代码及说明1、计算器模块、library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jisuanqi isPort (a,b: in STD_LOGIC_VECTOR (3 downto 0);sel:in STD_LOGIC_VECTOR (1 downto 0); -----加减乘控制端s: out STD_LOGIC_VECTOR (7 downto 0));end jisuanqi;architecture Behavioral of jisuanqi issignal q1 ,q2: STD_LOGIC_VECTOR (3 downto 0);signal q3: STD_LOGIC_VECTOR (7 downto 0);signal q4: STD_LOGIC_VECTOR (1 downto 0);beginq1<=a;q2<=b;q4<=sel;process(q4,q3)begincase q4 iswhen "00" => ----加减乘算法q3<=q1+q2;s<=q3;when "01" =>if(q1>q2)thenq3<= q1-q2;s<=q3;elseq3<=q2-q1;s<=q3;end if;when "10"=>q3<=q1*q2;s<=q3;when "11"=>q3<=q1*q2;s<=q3;when others=>q3<="00000000";s<=q3;end case;end process;end Behavioral;2、八位二进制数转化成8421BCD码模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity bcd isPort (s : in STD_LOGIC_VECTOR (7 downto 0);a : out STD_LOGIC_VECTOR (3 downto 0);b : out STD_LOGIC_VECTOR (3 downto 0));end bcd;architecture Behavioral of bcd issignal q0: STD_LOGIC_VECTOR (7 downto 0);signal q1: STD_LOGIC_VECTOR (3 downto 0);signal q2: STD_LOGIC_VECTOR (3 downto 0);beginprocess(s)beginq0<=s;case q0 is ----把八位二进制数转化为8421BCD码when"00000000"=>q1<="0000";q2<="0000";when"00000001"=>q1<="0000";q2<="0001";when"00000010"=>q1<="0000";q2<="0010";when"00000011"=>…………………………….3、四选一数据选择器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity mux4_1 isport(d0,d1,d2,d3 :in std_logic_vector(3 downto 0);q :out std_logic_vector(3 downto 0);sel :in std_logic_vector(1 downto 0) );end mux4_1;architecture rtl of mux4_1 isbeginprocess(sel)begin ------实现从四个数据中选择一个出来if(sel = "00") thenq<=d0;elsif(sel = "01")thenq<=d1;elsif(sel = "10")thenq<=d2;elsif(sel = "11")thenq<=d3;end if;end process;end rtl;4、七段显示译码器模块library ieee;use ieee.std_logic_1164.all;entity bcd_7dis isport (bcdm: in std_logic_vector(3 downto 0);a,b,c,d,e,f,g : out std_logic);end bcd_7dis;architecture art of bcd_7dis issignal w : std_logic_vector(6 downto 0);beginprocess(bcdm)begina<=w(6);b<=w(5);c<=w(4);d<=w(3);e<=w(2);f<=w(1);g<=w(0);case bcdm is -----实现8421码转化为2进制码的转换when "0000" =>w<="1111110";when "0001" =>w<="0110000";when "0010" =>w<="1101101";when "0011" =>w<="1111001";when "0100" =>w<="0110011";when "0101" =>w<="1011011";when "0110" =>w<="1011111";when "0111" =>w<="1110000";when "1000" =>w<="1111111";when "1001" =>w<="1111011";when "1100" =>w<="0000001";when others =>w<="0000000";end case;end process;end art;5、模4计数器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity mo4 isport(q :out std_logic_vector(1 downto 0);clk :in std_logic);end mo4;architecture rtl of mo4 issignal qcl : std_logic_vector(1 downto 0);beginprocess(clk)begin ----实现模为4的计数if(clk'event and clk = '1')thenif(qcl = "11")thenqcl <= "00";elseqcl <= qcl + '1';end if;end if;q <= qcl;end process;end rtl;6、模8计数器块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_8 isport( clk:in std_logic;ql :out std_logic_vector(2 downto 0));end count_8;architecture rt1 of count_8 issignal qcl:std_logic_vector(2 downto 0);beginprocess(clk)begin ---- 实现模8的计数if(clk'event and clk='1') thenif (qcl="111") thenqcl<="000";elseqcl<=qcl+'1';end if;end if;ql<=qcl;end process;end rt1;7、3—8译码器块library ieee;use ieee.std_logic_1164.all;entity decode3_8 isport(d :in std_logic_vector(2 downto 0);y :out std_logic_vector(7 downto 0));end decode3_8 ;architecture rt1 of decode3_8 isbeginprocess(d)begincase d is ------实现3对8的译码when "000"=>y<="10000000";when "001"=>y<="01000000";when "010"=>y<="00100000";when "011"=>y<="00010000";when others=>y<="00000000";end case;end process;end rt1;六、各模块仿真结果1、计算器模块2、八位二进制数转化成8421BCD码模块3.、四选一数据选择器模块4、七段显示译码器模块5、模4计数器模块6、模8计数器块7、3—8译码器块8、整体仿真七、管脚锁定及硬件实现1、管脚锁定2、文件下载将文件下载完后在硬件实验箱中进行仿真检查。

毕业设计简易加减乘除计算器

毕业设计简易加减乘除计算器

毕业设计题目简易加减乘除计算器系别专业班级姓名学号指导教师日期设计任务书设计题目:简易加减乘除计算器设计要求:1.根据所学内容运用编程做一个简易加减乘除计算器,实现加、减、乘、除、等以及清零的功能。

2.设置一组数码管, 使其能够显示程序运行,按键动作的内容。

3.设置一组按键,使其键依次对应0——9、“+”、“-”、“*”、“/”、“=”和清除键。

4.可以进行小于255的数的加减乘除运算,并可连续运算。

当键入值大于255时,将自动清零,可重新输入。

设计进度要求:第一周:确定题目,寻找单片机和计算器设计的相关资料;第二周:读懂资料,有初步的设计思路;第三周:绘制硬件电路图;第三周:设计软件框图;第四周:相应软件设计(程序设计);第五周:进行程序调试并且修改;第六周:写毕业设计论文;第七周:修改并提交毕业设计;第八周:准备论文答辩;指导教师(签名):摘要在很多领域的数据处理中要用到数学运算,作为计算机自动处理系统更显得重要。

此项目设计以单片机为核心部件的计算器,采用4*4矩阵式键盘,16个键依次对应0——9、“+”、“-”、“*”、“/”、“=”和清除键。

使用单片机最小应用系统1模块,简单方便。

采用静态显示,显示器由5个共阴极数码管组成。

输入只有两个信号,它们是串行数据线DIN和移位信号CLK。

5个串/并行移位寄存器芯片74LS164首尾相连。

每片的并行输出作为LED数码管的段码。

本计算器系统简单,实用性强,成本低,使用维护方便,软件功能强,运行稳定可靠等优点。

关键词:单片机,计算器,键盘,静态显示前言随着半导体集成工艺的不断发展,单片机的集成度将更高、体积将更小、功能将更强。

在单片机家族中,80C51系列是其中的佼佼者,加之Intel公司将其MCS –51系列中的80C51内核使用权以专利互换或出售形式转让给全世界许多著名IC制造厂商,如Philips、 NEC、Atmel、AMD、华邦等,这些公司都在保持与80C51单片机兼容的基础上改善了80C51的许多特性。

简易加减计算器设计

简易加减计算器设计

电子课程设计题目:简易加减计算器设计专业:电子信息工程姓名:学号:指导教师:电气与信息工程学院2013年6月28日成绩评定·指导教师评语:成绩等级:指导教师签字:年月日目录一、设计的任务及要求 (2)1.1、设计题目1.2、设计任务和要求二、原理电路设计 (2)2.1、方案比较2.2、单元电路设计2.3、元件选择2.4、整体电路2.5、电路工作原理三、实验及仿真 (8)四、电路对比分析 (9)五、设计总结 (10)5.1、设计过程中遇到的问题及解决方法5.2、设计体会5.3、对设计的建议六、参考文献 (11)一、设计的任务和要求1.1、设计题目: 简易加减计算器 1.2、技术指标与要求:1.2.1、自选器件制作一个简易计算器实现二位以下十进制数的加减计算; 1.2.2、进一步掌握数字电子技术课程所学的理论知识;1.2.3、熟悉几种常见的二——十进制编码器芯片、加法器芯片和七段字符型 LED 显芯片,掌握其工作原理及其使用方法,并能够熟练的将其组合连接 使其构成简单的加减计算器;1.2.4、以合适的方式显示输入的数据及计算结果;1.2.5、发现问题,分析问题,科学的解决问题,培养认真严谨的工作作风和 实事求是的工作态度。

二、原理电路设计2.1、方案比较方案一: 原理电路图如下:图(1)方案一原理电路图方案二: 原理电路图如下:图(2)方案二原理电路图2.2、单元电路设计2.2.1、输入电路的设计我们通过高低电平的高低位继而代替1和0来输入要计算的十进制数字每位十进制数用四位二进制代码表示,并通过七段LED数码转化为可操作的十进制来说明操作的方便性。

图(3)图(3)输入电路2.2.2、算法优化电路的设计加减运算电路主要由采用74LS283(四位全加器)和进位信号门电路组成。

因此,这个算法的优化电路的设计主要是为了减法而设计,因为74LS283 主要是加法,所以在进行减法运算时要进行码制的转换,既把减法运算电路转换成加法运算电路。

数字电路课程设计简易计算器文档

数字电路课程设计简易计算器文档

数字电路课程设计(不含单片机等,需用芯片完成主要功能)
功能:-8到7的加减计算器
-8,-7,…,-1,0,1,2,…,7为加减数的范围,运算结果可以有-8+(-8)=-16或,7+7=14有:正加正,负加负,正加负,负加正,0也在运算范围内。

要求:
输入部分:正负号(设置两个按键),若输入正数不按,负数则按代表负的按键;
加减法(设置两个按键,尽量与正负号共用两个按键),加减法都要按下按键;
数字(0-8设置九个代表数字的按键,一个按键代表一个数)
如:-3+1,则先按下代表负的按键,再按代表3的按键,其次按代表加号的按键,最后按代表数字1的按键。

显示部分:共七个数码管,进行运算的两个数各两个,其中代表符号位,若为正数,数码管不显示(或显示0),若为负数,显示“-”(负号,即数码管8字中间一横)。

运算结果用三个数码管,后两个显示数字,若结果只有一位,则只显示其中一个;若结果为两位,则全部显示。

前一个为符号位,同样,正数不显示(或显示0,需统一,要么都显示0,要么都不显示),负数显示负号。

如果增加一个区分加减的显示会更好。

提示:-8到7可用含符号四位补码表示
求补码用异或(74LS86)运算,正数各位与0异或,负数各位与1。

通过正负号控制加法器(74LS283)的进位来满足负数求补码加一。

可使用芯片(供参考,没有限制):74LS0074LS0474LS0874LS13874LS14774LS151 74LS16074LS16574LS28374LS37374LS37474LS4874LS7474LS7674LS85 NE555。

数电课程设计-简单加减运算电路的设计模板

数电课程设计-简单加减运算电路的设计模板

沈阳工程学院┊┊课程设计设计题目:简单加/减运算电路系别自控系班级测控本091学生姓名学号指导教师职称讲师起止日期:2011 年8月29日起——至2011 年9月4日止沈阳工程学院课程设计任务书课程设计题目:简单加/减运算电路系别自控系班级测控本091学生姓名学号指导教师职称讲师课程设计进行地点:B222任务下达时间:2011 年8 月29 日起止日期:2011年8月29日起——至2011年9月4日止教研室主任2011 年8月29日批准简单加/减运算电路的设计1简单加/减运算电路1.1设计目的(1)掌握1位十进制数加法运算电路的构成、原理与设计方法;(2)熟悉QuartusII的仿真方法。

1.2基本要求(1)实现二进制数的加/减法;(2)设计加数寄存器A和被加数寄存器B单元;(3)实现4bit二进制码加法的BCD调整;(4)根据输入的4bitBCD编码自动判断是加数还是被加数。

1.3发挥部分(1)拓展2位十进制数;(2)MC存储运算中间值;(3)结果存储队列;(4)其他。

2设计过程及论文的基本要求2.1设计过程的基本要求:(1)基本部分必须完成,发挥部分可以在已给的范围或自己寻找资料的范围内任选;(2)符合要求的设计报告一份,其中包括逻辑电路图、实际接线图各一份;(3)设计题目必须仿真通过,设计过程的资料草稿上交;(4)成绩的组成:考勤、每天任务的完成工作量、答辩情况、报告;2.2课程设计论文的基本要求:(1)蓝黑色或黑色钢笔或碳素笔书写,不允许用圆珠笔。

项目齐全、字迹工整,有条件的可以打印。

(2)装订顺序:封面、任务书、成绩评定表、中文摘要、关键词、目录、正文(正文的具体要求按老师讲课要求)、总结及致谢、参考文献、附录(逻辑电路图与实际接线图)。

3时间进度安排沈阳工程学院数字电子技术课程设计成绩评定表中文摘要数字电子技术的迅速发展,为人们的文化、物质生活提供了优越的条件,空调、电子计算机等,都是典型的技术应用实例。

简易加减计算器数电课程设计

简易加减计算器数电课程设计

简易加减计算器---数电课程设计学院:计算机学院专业班级:姓名:学号:2014.1.9目录一、引言 (3)二、设计要求 (3)三、设计目的 (3)四、正文 (4)(一)总体设计 (4)(二)部分电路设计 (6)(三)电路图的仿真及检测 (9)五、课程设计小结 (10)六、参考文献 (11)七、附录 (11)一、引言这次数电课程设计利用的是纯硬件,以前曾经用单片机加上编程实现过简单的计算器功能,觉得实现起来比较简单,做过这个课程设计之后,发现硬件电路也好强大,不用任何软件的程序辅助,靠纯逻辑就能实现,所以觉得逻辑器件是件神奇的东西,同时也发现对他的操作并不简单,一旦逻辑关系搞错,输出结果就不对了。

所以连接电路时特别小心。

二、设计要求1、用于两位以下十进制的加减运算。

2、以合适方式显示输入数据及计算结果。

3、设计要求被减数大于或等于减数。

三、设计目的1、综合运用相关课程中所学到的知识去完成设计课题。

2、熟悉常用芯片和电子器件的类型及特性,掌握合理选用器件的原则。

3、学会电路的设计与仿真。

4、通过查阅手册和相关文献资料,培养学生独立分析和解决问题的能力。

5、培养严肃认真的工作作风和严谨的科学态度。

6、能自己熟练连接实现逻辑电路。

7、掌握基本逻辑式的化简。

8、熟悉集成电路的引脚安排。

9、掌握Multisim的基本用法。

10、掌握74LS283N芯片的逻辑功能和译码显示器的使用方法。

四、正文(一)总体设计1、设计电路原理本计算器可以实现简单的十位以内的加减法,设计的基本思路如下:图1先利用单刀双掷开关将加数(减数)与被加数(被减数)置入,然后通过将加数(减数)通过异或门进行逻辑组合,再通过74LS283N实现8421码的转换,最后接上7段数码管显示结果。

2、设计思路如图1所示第一步置入两个四位二进制数。

例如(1001)2,(0011)2和(0101)2,(1000)2,同时在两个七段译码显示器上显示出对应的十进制数9,3和5,8。

简易加减法计算器

简易加减法计算器

电子技术课程设计题目:简易加减法计算器一、设计课题:简易加减法计算器二、设计任务和要求:1、用于两位以下十进制数的加减运算。

2、以合适方式显示输入数据及计算结果。

三、原理电路设计1、方案的比较对于简单加减计算器可有三种不同的方案①用数/模转换,与模拟电路中的加减计算器进行简单的加减计算。

先用74LS147二-十进制优先编码器转化为二进制进行输A,然后数模转化模拟信号,进行加减计算后,转化为数字信号输出。

此方案思路较明确,但经过二次数模相互转换,精确率较低;具体输出时的负数效应,与单输入的二进制转化为十进制时电路较复杂,无成块的集成电路,致使误差率较大。

②可用数字电路中4位超前进位加法器74LS283与方案一输入相同;后用三态输出CMOS门电路进行选择输入,进行加法运算后输出,输出时,注意负数的问题与在输出中2进制与10进制关系的问题。

还有寄存器的问题。

此方案思路明确,比较精确,此中的2进制与10进制问题需复杂门电路解决无现成集成元件,存在太多的散元件。

减法运算需要反码进行运算,况且在其触发过程中需要考虑同步问题。

③可运用数字电路中的单时钟同步十进制加/减计数器74LS190进行加减计算。

方案以上升沿进行输入,触发加减计算。

本方案输入方式不同于一般输入方式,需要有所改进。

但思路明了,不十分复杂,对于负数运算较复杂,可集成程度较高。

终上所述,最好是相互结合,以③为本。

2、单元电路设计3、元件的选择对于计数器来说需要选同时可以进行加减计数的计数器进行加减,因此选用单时钟十进制加/减计数器74LS190.其电路图及功能表如下:中间由于1/0的输出不能够持久的进行保持,因此可用RS触发器进行保持。

对于加/减,等于触发需要74LS194进行触发保持4、整体电路(见附图)5、工作原理主要运用十进制加/减计数器74LS190加/减计数功能与74LS194的触发功能。

六、设计总结我们以为,在这学期的实验中,在收获知识的同时,还收获了阅历,收获了成熟,在此过程中,我们通过查找大量资料,请教别人,以及不懈的努力,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑电路课程课程设计--简易加减计算器
摘要
本次课程设计的任务是设计一个具有加减运算功能的简易计算器,并通过合适的方式来显示最后的计算结果。

此次设计电路的完成主要是利用简单的数字电路和电路逻辑运算来进行的。

简易加减计算器电路主要是对数据的输入与显示,数据的加减运算,数据的输出与显示三个主要的方面来设计研究完成的。

在输入电路的部分,我们通过开关的闭合与断开来实现数据的输入,开关闭合接入高电平“1”,断开接入低电平“0”。

而输入的数据将通过显示译码管以十进制的形式显示出来。

由于输入二进制的位数较多,我们采用个位十位分别输入的方式来简化电路。

加减运算电路则主要通过加法器来实现的。

设计电路时,我们将个位和个位、十位和十位分别接入一片加法器。

在进行加法运算时我们所选择的加法器是完全符合要求的,但是在进行减法运算时加法器就不能满足我们的设计要求了。

因此我们将减法转换为加法进行运算,运算时采用补码的形式。

在进行减法时通过异或门将减数的原码全部转换为补码,输入加法器中进行相加。

最后将进位信号加到十位的运算电路上就实现了加减法的运算电路。

在显示电路中,由加法器输出的数据是二进制码。

这些码可能表示超过十的数字,所以显示译码管就不能正确的显示出数字了。

此时要将二进制转化成BCD码,再将BCD码送到显示译码管中就可以将计算所得的数字显示出来了。

概述
1.1设计题目:
简易加减计算器
1.2设计任务和要求:
1)用于两位以下十进制数的加减运算。

2)以合适的方式显示输入数据及计算结果。

1.3设计方案比较:
方案一:输入十进制的数字,再通过编码器对十进制的数字进行编码,输出二进制的数据。

运用显示译码器对输入的数字以十进制的形式进行显示。

在进行加减计算的时候将二进制数字运用数模转换,然后再进行相加减。

然后将这些模拟信号再次转换成数字信号转换成数字信号,再将数字信号输入到显示译码管中来显示数剧。

这个方案中要进行数模转换和模数转换所需要的电路器件有些复杂,并且转换的时候需要很长的时间,而且转换以后数值的精度不高。

鉴于这种方案中有太多的缺点,所以没有采用。

方案二:输入十进制的数字,并对数字进行编码,通过显示译码管将输入的数字显示出来。

再将编码所得的二进制数字求取补码,将补码送到串行进位加法器中进行相加。

最后将二进制码转换成BCD码输入到显示译码管中,从而就可以将相加以后所得的数字显示出来。

这个方案中避免了像方案一中需要大量的时间和精度不高的缺点,但是由于应用了串行加法器的缘故,电路会比较复杂,不利于进行连接。

方案三:本方案采用十位和十位相加,个位和个位相加的方法,将个位和十位分别输入到电路中。

由于输入的数字是二进制码,将二进制码输入到显示译码管中就可以显示输入的数字。

相加或者相减的时候采用补码的形式通过加法器进行相加。

再将二进制转化成BCD码输入到显示译码管中就可以将相加所得数字显示出来。

此方案中运用了较少的原件并且运行所需的时间
很少。

相对方案一和方案二。

这种方案是最好的,所以就采用了这种方案。

1.4设计原理:
图1 二进制加减运算原理框图
设计原理分析:如图1所示,第一步置入两个二进制数,数字的置入用开关控制,二进制码输入显示译码管。

这样四个显示译码管上显示出对应的十进制数;第二步通过开关选择运算方式为加或减;第三步,按照选择的运算方式对数据进行求补码的运算。

然后将补码进行相加;第四步,前面所得数据化为BCD 码,以方便在显示译码管上显示。

个位相加的结果通过一个显示译码管显数据运算 运算方式
显示数据
显示结果
输入数据
示。

十位因为可能有进位所以选用两个显示译码管。

系统总体方案
2.1编码:
输入两位二进制数。

通过开关控制输入的数据,采用个位和个位、十位和十位相加的原理进行输入。

将数据输入显示译码管中就可以将输入的数据显示出来了。

如图2:
图2
2.2加减运算:
通过开关选择要进行的加运算或减运算。

进行两个数相加的运算时,可以直接将得到的二进制进行相加。

这可以通过加法器74LS283D 来实现。

因为是采用个位和个位相加、十位和十位相加的原理,所以现在就出现了一些问题。

当个位相加的结果超过十以后就要有进位,而且这
时的二进制输入到显示译码管中就不会显示出所需要的数据。

所以这是要将相加得到的二进制数转变成BCD码,这样就
可以将各位的数字显示出来。

因为我们所选用的加法器是十六进制的,所以需要把加法器通过门器件输出合适的进位信号来实现十进制的加法运算。

对产生进位的二进制列真值表可得进位信号的表达式。

真值表如表1所示:
进位输出(CO) 进位信号(Y)二进制和
(DCBA)
1010 0 1
1011 0 1
1100 0 1
1101 0 1
1110 0 1
1111 0 1
0000 1 1
0001 1 1
0010 1 1
表1
根据真值表可得进位信号
Y=DB+DC+CO=D(B+C)+CO。

设计一个进位信号,这里我们采用两片74LS283,第一片运算电路送过来的代码,当其运算结果大于9时,由组合逻辑电路产生进位信号。

当运算结果为16、17、18时,将进位输出信号与组合逻辑电路进位信号做或运算,这样就得到了合适的进位了。

可是怎样才可以得到正确的十进制数的代码呢,在其进行加法运算时,在第二片加法器上认为加上0110代码,将其与第一片加法器的运算结果做和,这样就得到了我们所需要的运算结果了。

当进行两个数相减的时候,减原码就相当于加上这个数的补码。

运用异或门将要减的数变成反码,通过进位输入端来进行加一,这样就变成补码相加的了。

数据相加电路如图3,进位如图4:
图3
图4
2.3显示电路:
由于在进行加减运算的时候已经将二进制数加上0110代码,这样就和容易将相加或相减的结果很好的输出。

但是因为十位上的进位要进行输出,所以要运用两个显示译码管来对十位相加的结果来进行表示。

如图5:
图5
2.4总体电路:
通过把输入电路,加减运算电路和显示电路整合到一起就得到了如图6所示的整体的电路图。

设计体会
此次设计让我学习到了许多宝贵的知识。

这是我们第一次将课本上的理论知识以电路设计的形式在实践中运用。

让我深刻感受到理论知识与实际的电路实现是有很大区别的。

这次在课程设计中出现的问题,使我知道了我所学的专业知识必须经过多实践才能真正的掌握。

通过对题目的分析得出需要完成电路版块的总体框图以后感觉设计应该很快就能完成了。

可是我们在实际的设计中遇到了许多问题。

例如进行加法运算的时候可能会产生进位,而且超过十的数字显示在晶体管上的时候就会出错,像这类的问题,都需要经过查找分析,不断地改进方案找到最终的解决方法。

在解决问题的过程中,
我们通过互相的讨论,交换观点,查找资料来完善设计方案,因此,也有了更多的收获和思考。

在进行Multisim的仿真时,电路总是运行错误,无法完成运算,我们只好将电路中的每一小部分分别进行模拟仿真,发现在电路中,一个开关或门器件都有可能使运行出错。

这次实验让我知道了设计并不是那么简单的,也许这个问题看起来非常的简单,但是不动手去做就永远无法知道这个问题后面有多少困难去解决。

通过此次的设计我明白了知识的联系和积累是多么的重要,它还让我明白了逻辑组合电路功能的强大性。

当然在本次课程设计中收获最多的还是对以往所学的知识的更好的巩固和复习,同时又让我对已学过的知识有了进一步的研究和理解,通过学以致用我对所学的知识进行了拓展和运用,加深了理解,同时又扩大了知识面,也基本了解了电子设计的步骤及Multisim 软件的运用。

参考文献
[1]《数电电子设计技术基础》高等教育出版社阎石主编
[2]《电工与数字电子技术实验》
[3]《数字电路与逻辑设计》西安电子科技大
学出版社蔡良伟主编
[4]网路上的各种资料。

相关文档
最新文档