数字逻辑电路课程设计报告
数字逻辑设计实验报告
一、实验目的1. 理解和掌握数字逻辑设计的基本原理和方法。
2. 熟悉数字电路的基本门电路和组合逻辑电路。
3. 培养动手能力和实验技能,提高逻辑思维和解决问题的能力。
4. 熟悉数字电路实验设备和仪器。
二、实验原理数字逻辑设计是计算机科学与技术、电子工程等领域的基础课程。
本实验旨在通过实际操作,让学生掌握数字逻辑设计的基本原理和方法,熟悉数字电路的基本门电路和组合逻辑电路。
数字逻辑电路主要由逻辑门组成,逻辑门是数字电路的基本单元。
常见的逻辑门有与门、或门、非门、异或门等。
根据逻辑门的功能,可以将数字电路分为组合逻辑电路和时序逻辑电路。
组合逻辑电路的输出只与当前输入有关,而时序逻辑电路的输出不仅与当前输入有关,还与之前的输入有关。
三、实验内容1. 逻辑门实验(1)实验目的:熟悉逻辑门的功能和特性,掌握逻辑门的测试方法。
(2)实验步骤:① 将实验箱中的逻辑门连接到测试板上。
② 根据实验要求,将输入端分别连接高电平(+5V)和低电平(0V)。
③ 观察输出端的变化,记录实验数据。
④ 分析实验结果,验证逻辑门的功能。
2. 组合逻辑电路实验(1)实验目的:掌握组合逻辑电路的设计方法,熟悉常用组合逻辑电路。
(2)实验步骤:① 根据实验要求,设计组合逻辑电路。
② 将电路连接到实验箱中。
③ 根据输入端的不同组合,观察输出端的变化,记录实验数据。
④ 分析实验结果,验证电路的功能。
3. 时序逻辑电路实验(1)实验目的:掌握时序逻辑电路的设计方法,熟悉常用时序逻辑电路。
(2)实验步骤:① 根据实验要求,设计时序逻辑电路。
② 将电路连接到实验箱中。
③ 观察电路的输出变化,记录实验数据。
④ 分析实验结果,验证电路的功能。
四、实验结果与分析1. 逻辑门实验结果:通过实验,验证了逻辑门的功能和特性,掌握了逻辑门的测试方法。
2. 组合逻辑电路实验结果:通过实验,掌握了组合逻辑电路的设计方法,熟悉了常用组合逻辑电路。
3. 时序逻辑电路实验结果:通过实验,掌握了时序逻辑电路的设计方法,熟悉了常用时序逻辑电路。
数字电路与逻辑设计实验报告
数字电路与逻辑设计实验报告数字电路与逻辑设计实验报告摘要:本实验旨在通过设计和实现数字电路和逻辑门电路,加深对数字电路和逻辑设计的理解。
实验过程中,我们使用了逻辑门电路、多路选择器、触发器等基本数字电路元件,并通过实际搭建电路和仿真验证,验证了电路的正确性和可靠性。
引言:数字电路和逻辑设计是计算机科学与工程领域的重要基础知识。
在现代科技发展中,数字电路的应用范围非常广泛,涉及到计算机、通信、控制等各个领域。
因此,深入理解数字电路和逻辑设计原理,掌握其设计和实现方法,对于我们的专业学习和未来的工作都具有重要意义。
实验一:逻辑门电路的设计与实现逻辑门电路是数字电路中最基本的元件之一,通过逻辑门电路可以实现各种逻辑运算。
在本实验中,我们通过使用与门、或门、非门等逻辑门电路,设计并实现了一个简单的加法器电路。
通过搭建电路和进行仿真验证,我们验证了加法器电路的正确性。
实验二:多路选择器的设计与实现多路选择器是一种常用的数字电路元件,可以根据控制信号的不同,选择不同的输入信号输出。
在本实验中,我们通过使用多路选择器,设计并实现了一个简单的数据选择电路。
通过搭建电路和进行仿真验证,我们验证了数据选择电路的正确性。
实验三:触发器的设计与实现触发器是一种常用的数字电路元件,可以存储和传输信息。
在本实验中,我们通过使用触发器,设计并实现了一个简单的二进制计数器电路。
通过搭建电路和进行仿真验证,我们验证了二进制计数器电路的正确性。
实验四:时序逻辑电路的设计与实现时序逻辑电路是一种特殊的数字电路,其输出不仅与输入信号有关,还与电路的状态有关。
在本实验中,我们通过使用时序逻辑电路,设计并实现了一个简单的时钟电路。
通过搭建电路和进行仿真验证,我们验证了时钟电路的正确性。
实验五:数字电路的优化与综合数字电路的优化与综合是数字电路设计中非常重要的环节。
在本实验中,我们通过使用逻辑代数和Karnaugh图等方法,对已有的数字电路进行了优化和综合。
数字逻辑电路实验课程设计
数字逻辑电路实验课程设计课程概述数字逻辑电路是计算机基础知识的重要组成部分,也是计算机专业课程中的重要一环。
本实验旨在通过实际操作,加深学生对数字逻辑电路原理的理解,增强学生动手实践能力,为以后相关课程的学习打下基础。
实验内容本实验的主要内容为数字逻辑电路的设计和仿真,其中包括以下几个实验项目:实验一:基础逻辑门的实现通过实验一,学生将掌握数字逻辑电路中基础逻辑门的实现方法。
实验中,学生会使用基础逻辑门实现多功能逻辑电路,练习基础逻辑电路的搭建和仿真。
实验二:组合逻辑电路的设计实验二主要是组合逻辑电路的设计与仿真。
学生将会独立设计组合逻辑电路,并调试仿真运行结果,本实验对于提高学生对组合逻辑电路理论的理解和实际操作能力有非常重要的作用。
实验三:时序逻辑电路的设计实验三主要是时序逻辑电路的设计与仿真。
学生将会掌握时序逻辑电路的设计方法,理解时序逻辑电路的工作原理。
本实验将从理论到实践,帮助学生更好的掌握时序逻辑电路的应用。
实验要求•学生需要在上课前自行预习相关知识,对每个实验项目做好实验前的准备工作。
•实验过程中,学生需要根据实验要求,独立完成实验任务,并认真记录实验过程和实验数据。
•实验报告需要按照规定格式书写,其中需包含实验目的、实验原理、实验过程、实验结果及分析等内容。
•实验完成后,需要将实验报告在规定时间内提交给授课教师,如需重做实验,需要重新安排实验时间。
实验评分每个实验项目的实验报告占总成绩的30%。
实验报告将按照格式、实验完成情况以及实验结果分析等的得分进行评分。
实验报告迟交或抄袭者,将会被计入不及格分数。
实验工具本实验需要使用电路模拟软件进行实验操作,建议使用PSPICE或MULTISIM等相关软件。
学生需要提前安装或下载相关软件,并进行必要的学习和练习。
实验总结数字逻辑电路实验是计算机专业非常重要的实践环节。
通过本实验,学生将了解到数字逻辑电路的设计与原理,并能够熟练掌握数字逻辑电路仿真工具的使用。
数字逻辑电路课程设计报告数字电子技术报告
课程设计报告课程设计名称:数字逻辑电路系别:学生姓名:班级:学号:成绩:指导教师:开课时间:2012-2013 学年第一学期●目录1 设计任务及要求2 总体设计方案3 控制电路设计●3.1 控制电路工作原理●3.2控制电路设计过程4 倒计时电路设计●4.1具有同步置数功能的十进制减法计数器设计●4.2主干道和支干道倒计时电路设计5 译码显示电路设计●5.1动态显示工作原理●5.2动态显示及译码电路设计6 总体电路设计●6.1总体电路7 电路仿真调试●7.1控制电路仿真调试●7.2倒计时电路仿真调试●7.3译码显示电路仿真调试●7.4总体电路仿真调试,下载验证8 改进意见及收获体会参考文献一.设计任务及要求1)设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红绿黄LED 做信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。
2) 主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路3)每次由绿灯转换为红灯时,要亮5秒黄灯作为过度,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。
二. 总体设计方案交通灯控制器的功能框图三. 控制电路设计2.1 控制电路工作原理设主干道绿灯、黄灯、红灯分别为G1、Y1、R1;支干道绿灯、黄灯、红灯分别为G2、Y2、R2,并且均用0表示灭,1表示亮,则交通灯有如下四种输出状态:主干道和支干道信号灯的实现采用4位二进制计数器74161实现控制器的四个状态循环。
当倒计时计数值为01时T1=1,作为74161的计数使能信号。
由状态表主干道倒计时显示:60⇒……⇒01⇒05⇒……⇒01⇒50⇒……⇒06⇒05⇒……⇒01 支干道倒计时显示:65⇒……⇒06⇒05⇒……⇒01⇒45⇒……⇒01⇒05⇒……⇒01主干道T1 支干道T1161的ENS0 | S1 | S2 | S3通过以上观察可发现:当主干道或者支干道的倒计时计数值为01时,控制器将从当前状态转入下一个状态。
数字逻辑课程设计报告(优秀版)
目录目录 (1)一、设计目的 (2)二、设计要求 (2)三、设计方案 (2)四、顶层图及相关模块说明 (3)1、顶层图 (3)2、各模块说明(1)进制模块 (3)a、二十四进制 (5)b、六十进制 (5)(1)动态扫描模块 (6)(2)分频模块 (8)(3)报时模块 (9)(4)二路选择器模块 (10)五、经验总结 (12)一、设计目的1.学会应用数字系统设计方法进行电路设计;2.进一步提高QuartusⅡ9.0软件的开发应用能力;3.培养学生书写综合实验报告的能力。
二、设计要求1、能进行正常的时、分、秒计时,用动态扫描的方式显示,需用6个数码管。
(1)用M6M5进行24进制小时的显示。
(2)用M4M3进行60进制分的显示。
(3)用M2M1进行60进制秒的显示。
2、利用按键实现“校时”、“校分”和“秒清0”功能。
(1)SA:校时键。
按下SA键时,时计数器迅速递增,按24小时循环,并且计满23时回到00。
(2)SB:校分键。
按下SB键时,分计数器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
(3)SC:秒清零。
按下SC时,秒计数器清零。
要求按键均不产生数字跳变,因此须对“SA”、“SB”进行消抖处理。
3、能进行整点报时。
(1)在59分50、52、54、56、58秒按500Hz频率报时;(2)在59分60秒用1KHz的频率作最后一声正点报时。
4、更高要求:能进行闹时功能(1)闹时的最小时间间隙为10分钟,闹时长度为1分钟。
闹时频率可以自己设置。
(2)按下闹时按键SD后,将一个闹时时间数存入计数器内。
时钟正常运行时,闹时时间和运行的时间进行比较,当比较结果相同时输出一个启动信号,触发闹时电路工作,输出音频信号。
三、设计方案1、按自顶向下的层次化设计方法设计。
(1)顶层图(2)消抖电路用D触发器构成,SA、SB、SC为包含抖动的输入信号,而电路的输出则是一个边沿整齐的输出信号。
(3)计时(24进制计数器),计分(60进制计数器)、计秒(60进制计数器)模块可由10进制计数器连接构成,也可用VHDL语言完成。
数字逻辑课程设计完整报告(精编文档).doc
【最新整理,下载后即可编辑】一、概述智能抢答器是一种生活中常见的装置,电视节目中都可以看得到,是一种简易但又特别实用的一种装置。
本次我的课程设计的要求是设计一个智力竞赛抢答装置,可以供4人(组)使用,并且每人对应着一个开关,每个开关对应着一个发光二极管,当选手抢答成功时,所对应的发光二极管就会亮,主持人也有一个开关,当主持人按下自己开关使,选手才可以抢答,比赛才开始,并且计时器开始计时,如果在2分钟时间内没有选手抢答,那么这道题作废,主持人断开自己开关,再进行下道题。
二、方案论证设计一个智能抢答器,可以供4人比赛,每人对应一个开关和发光二极管。
主持人控制一个开关,当主持人按下开关,抢答开始并且开始计时,如果2分钟内没有选手抢答,那么本题作废,主持人断开开关,进行下题。
方案一:方案一原理框图如图1所示。
图1 智力抢答器电路的原理框图方案二:方案二原理框图如图2所示。
图2 智力抢答器电路的原理框图本设计采用的是方案二,电路简单,易懂,更具性价比。
三、电路设计 1.抢答电路抢答电路是实现抢答功能,当主持人按下开关抢答开始,当最先开始选手按下开关并且对应发光二极管发光,而且其他选手抢答无效。
为实现功能当一个开关闭合同时其它开关处于断开状态时,输出高电平对应二极管发光,同时将其它三个二极管锁定为低电平,这三个开关失效。
图3 抢答电路2.计时电路计时器电路主要由三片74LS190N 构成。
将三片计数器芯片接成120进制的加法计数器并将初始值置为000,接收脉冲信号由000开始计时。
选手按钮显示电路译码电路 控制电路主持人按钮脉冲电路计时电路 报警电路表1 同步十进制加/减计数器74LS190N功能表的控制停止计数且保持当前数据不变;当LD′=0时,计数器不受CLK的控制预置数;当CTEN′=0,LD′=1,U′/D=0时计数器加法计数,CTEN′=0,LD′=1,U′/D=1时计数器减法计数;当低位计数器U8到9时进位,高位加1。
大连海事大学数字逻辑电路课程设计实验总结报告
数字逻辑电路课程设计实验总结报告题目一:用J-K触发器设计13进制加法计数器一、设计过程:参见设计实验报告(真值表,卡诺图)。
二、逻辑电路图:三、电路图描述:4个J-K触发器同步接法,每一位J-K触发器的输出端经与非门与灯泡连接(具体c 参见设计报告卡诺图下表达式),4个小灯泡代表4位2进制数,左边为高位,右边为低位,小灯泡的亮、灭分别代表“1”,“0”。
四、实验结果:小灯泡由“灭灭灭亮”依次到“亮灭亮灭”,然后到“灭灭灭灭”,代表“0001”依次累加到“1010”然后清零为“0000”,实现0~12,模13加法计数器。
题目二:用74LS194实现M=10序列为1100110101一、设计过程:参见设计实验报告。
二、逻辑电路图:由74LS194双向移位寄存器产生M=10的1100110101。
由Q3,Q2,Q1,Q0代表194四位输出端。
灯的亮灭代表10,最右边的灯代表F,即代表所产生的序列。
四、实验结果Q3,Q2,Q1,Q0从“1100”到“1110”成一循环,F的值与之依次对应。
参见设计报告真值表。
题目三:用74LS163设计0~98以内的数显电路。
一、设计过程:参见设计实验报告二、逻辑电路图三、电路描述:两位74LS163芯片分别代表56进制高地位。
低位需要在9即“1001”,以及高位为5(“0101”)、低位为5(“0101”)两个状态清零,通过与非门控制。
高位仅在5时(“0101”)时清零。
四、实验结果从“00”开始计数直到“55”清零。
题目四:用74LS163和74LS151设计M=10序列为0011001101序列一、设计过程:参见设计实验报告二、逻辑电路图由74LS163,74LS151两个芯片组成。
163芯片四位输出端“QD,QC,QB,QA”通过降维(参见设计报告)与151ABC三位输入端项链。
151的8位置数端所置的数由降维卡诺图(参见设计报告)确定,从M0到M7分别为:0101‘Q0’111。
数字逻辑电路设计课程设计实验报告
数字逻辑电路设计课程设计——多功能数字钟学校专业班级姓名学号数字系统综合设计——多功能数字钟实验目的1.学会将VHDL程序生成为自己的逻辑器件;2.学会应用数字系统方法进行电路设计;3.能够更加熟练得运用VHDL语言来编写、开发自己的数字电路;4.进一步掌握Quartus Ⅱ软件的用法;5.理解和实践编写较大型逻辑电路的步骤和方法,深入理解层次化设计方法;6.培养综合实验能力。
设计目的1.拥有正常的时、分、秒及时功能。
2.能利用实验板上的按键实现校时、校分及秒清零。
3.能利用实验板上的扬声器做整点报时。
4.闹钟功能。
5.在MAXPLUS Ⅱ中采用层次化设计方法进行设计。
6.完成全部电路设计后在实验板上下载,验证设计课题的正确性。
设计方案根据图1-1的总体设计框图,可以将整个系统分为6个模块来实现,分别是计时模块、校时模块、整点报时模块、分频模块、动态显示模块及闹钟模块。
图1-1 多功能数字钟总体设计框图11.计时模块该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。
二十四进制计数器用于计时,六十进制计数器用于计分和计秒。
只要给秒计数器一个1Hz的时钟脉冲,则可以进行正常计时。
分计数器以秒计数器的进位作为计数脉冲,小时计数器以分计数器的进位作为计数脉冲。
2.校时模块校时模块设计要求实现校时、校分以及秒清零功能。
✧按下校时键,小时计数器迅速递增以调至所需要的小时位。
✧按下校分键,分计数器迅速递增以调至所需要的分位。
✧按下清零键,将秒计数器清零。
在设计此模块时要注意屏蔽校分时分计数器的进位信号,以防止小时计数器计数;利用D触发器消除校时校分是的按键抖动;计时采用1Hz的脉冲驱动计数器计数,而校对时间时应选用相对高频率的信号驱动计数器以达到快速校对时间的目的。
3.整点报时模块该模块的功能要求是:计时到59分50秒时,每两秒一次低音报时,整点进行高音报时,可以将报时信号接到试验板上的扬声器输出。
数字逻辑电路课程设计报告(09261030 范玉清)
《数字逻辑电路》课程设计指导书一、课程设计的目的1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点;2、培养勤奋认真、分析故障和解决问题的能力。
二、设计名称:设计一个一位十进制加减法器三、设计要求1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。
2、用一个开关控制加减法器的开关状态。
3、要求在数码显示管上显示结果。
四、设计过程1、收集相关资料,完成相关电路的设计图,正确选用适合设计内容的集成电路、器件和器材,并列出“领料清单”;2、利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。
五、设计细则严格按照电路设计的步骤,实现其设计基本内容和功能,利用虚拟软件进行仿真,电路运行稳定、可靠;描述实验现象,实验过程中出现的问题及解决方案。
六、说明课程设计任务书课程设计报告课程:数字逻辑电路学号:09261030姓名:范玉清班级:09计11教师:王小林徐州师范大学计算机科学与技术学院设计名称:设计一个一位十进制加减法器日期:2011年01 月06 日设计内容:1、0-9十个字符和“+”“-”分别对应一个按键,用于数据输入。
2、用一个开关控制加减法器的开关状态。
3、要求在数码显示管上显示结果。
设计目的与要求:1、学习数字逻辑等电路设计方法,熟知加减法器、编码器、译码显示的工作原理及特点;2、培养勤奋认真、分析故障和解决问题的能力。
设计环境或器材、原理与说明:环境:利用多功能虚拟软件Multism8进行电路的制作、调试,并生成文件。
器材:74LS283或者4008,4个异或门(一片74LS86)(减法);74LS08,3输入或门(加法)设计原理:图1二进制加减运算原理框图分析:如图1所示,第一步置入两个四位二进制数(要求置入的数小于1010),如(1001)2和(0111)2,同时在两个七段译码显示器上显示出对应的十进制数9和7;第二步通过开关选择运算方式加或者减;第三步,若选择加运算方式,所置数送入加法运算电路进行运算,同理若选择减运算方式,则所置数送入减法运算电路运算;第四步,前面所得结果通过另外两个七段译码器显示。
数字逻辑课程设计报告
数字逻辑与数字系统课程设计实验报告姓名:学号:专业班级:指导老师:一)设计题目:电子钟二)设计任务和基本要求:1.实验台上的六个数码管显示时、分、秒;2.能使电子钟复位(清零);3.能启动和停止电子钟运行;4.在电子钟停止运行状态下,能够修改时、分、秒的值;5.具有报时功能,整点时喇叭鸣叫。
三)所用主要器件和设备:1、TDS 系列数字电路实验系统2、ISP 系统可编程器件以及《数字逻辑》课程实验所用部分中、小规模集成电路等3、PC 计算机4、ISP1032E 可编程逻辑器件以及数据选择器、触发器、移位寄存器、计数器及基本门电路等5、在Windows 平台上运行的ispLEVER 编程软件四)设计思想:数字钟电路的基本结构由两个 60 进制计数器和一个24 进制计数器组成,分别对秒、分、小时进行计时,当计时到23 时59 分59 秒时,再来一个计数脉冲,则计数器清零,重新开始计时。
当数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒的计时结果通过6 个数码管来动态显示。
数字钟除了能够正常计时外,还应能够对时间进行调整。
因此,通过模式选择信号MOD1、MOD2 控制数字钟的工作状态,即控制数字钟,使其分别工作于正常计时,调整分、时和设定分、时5 个状态。
当数字钟处于计时状态时,3 个计数器允许计数,且秒、分、时计数器的计数时钟信号分别为CLK,秒的进位, 分的进位;当数字钟处于调整时间状态时,被调的分或时会一秒一秒地增加;当数字钟处于定时状态时,可以设定小时和分;当计时到所设定的时刻时,会响闹钟五)设计步骤及程序:pause是暂停键,当暂停时set1与set2分别控制调节为时还是为分或是为秒。
exam提供一个模拟上升沿,hh,hl,mh,ml,sh,sl分别控制小时高位,低位,分钟高位,分钟低位,秒高位,低位。
thh,thl,tmh,tml,tsh,tsl分别表示时分秒变化的中间变量。
数字电路课程设计(5篇)
数字电路课程设计(5篇)第一篇:数字电路课程设计数字电路课程设计要求:1.结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。
2.每人独立完成一篇课程论文,论文至少2000字,可手写,也可打印(打印稿的格式另附)。
3.要求写出设计背景,理论基础,设计思路,设计过程,调试过程,仿真过程(可选),最终电路等。
4.总结所设计电路的优点,缺点,改进方向。
5.严禁抄袭,所有雷同论文均以0分计。
6.选多功能数字钟的同学在数字电路实验室完成实验。
选其它题目的同学所需软硬件资源请自行解决。
第二篇:数字电路课程设计一、设计报告书的要求: 1.封面2.课程设计任务书(题目,设计要求,技术指标等)3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。
3.目录4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。
⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。
⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。
⑷ 用protel画原理电路图。
(5)用Multisim或者Proteus画仿真图。
5.总图。
6.课题小结(设计的心得和调试的结果)。
7.参考文献。
二、评分依据:①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。
三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)1、基于DC4011水箱水位自动控制器的设计与实现水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。
要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。
2、基于CD4011声控、光控延时开关的设计与实现要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。
数字逻辑课程设计报告
数字逻辑课程设计多功能数字钟班级:学号:课程设计人:指导老师:课题:完毕时间:一、设计目旳:学会应用数字系统设计措施进行电路设计,纯熟地运用汇编语言。
二、设计任务及规定:1.记时、记分、记秒2.校时、校分、秒清03.整点报时4.时间正常显示5.闹时功能三、设计思绪:将整个闹钟分为如下几种模块,每个模块中均有详细旳各部分旳设计思绪,源代码及仿真图像,生成旳器件。
1.计时模块计小时:24进制计数器计分、计秒:60进制计数器计时间过程:计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。
计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。
计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。
二十四进制计数器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt24 isport (clk:in std_logic;qh,ql:out std_logic_vector(3 downto 0)); end cnt24;architecture behave of cnt24 issignal q1,q0:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clk'event and clk='1')thenif(q1="0010" and q0="0011")thenq1<="0000";q0<="0000";elsif(q0="1001")thenq0<="0000";q1<=q1+'1';elseq0<=q0+'1';end if;end if;qh<=q1;ql<=q0;end process;end behave;仿真成果:图一、cnt24仿真图像六十进制计数器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60 isport (clk:in std_logic;clr:in std_logic;ql,qh:out std_logic_vector(3 downto 0);c:out std_logic);end cnt60;architecture cnt of cnt60 issignal q1,q0:std_logic_vector(3 downto 0);beginprocess(clk,clr)beginif(clr='1')thenq1<="0000";q0<="0000";c<='0';elseif(clk'event and clk='1')thenif(q1="0101" and q0="1001")then-----到59 q1<="0000";q0<="0000";c<='1';elsif(q1<"0101" and q0="1001")thenq0<="0000";q1<=q1+'1';c<='0';elsif(q0<"1001") thenq0<=q0+'1';end if;end if;end if;qh<=q1;ql<=q0;end process;end cnt;仿真成果:图二、cnt60仿真图像clk qh[3..0]ql[3..0] cnt24instclkclrql[3..0]qh[3..0]c cnt60inst1图三、生成旳计数器符号2.校时模块:思绪:按下校时键,时位迅速递增,满23清0 按下校分键,分位迅速递增,满59清0注意:此时应屏蔽分进位。
数字逻辑电路课程设计方案报告(DOC)
数字逻辑电路课程设计报告拔河游戏机2007年9月6日摘要1、任务与要求:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。
由一排L E D发光二极管表示拔河的的“电子绳”。
由甲乙双方通过按纽开关使发光的L E D管向一方的终点延伸,当延伸到某方的最后一个L E D管时,则该方获胜,连续比赛多局以定胜负。
显示器显示胜者的盘数。
2、基本要求如下:(1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。
(2)“电子绳”到少由15个L E D管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的L E D点亮。
甲乙双方通过按键输入信号,使发亮的L E D管向自己一方移动,并阻止其向对方延伸。
当从中点至自己一方终点的L E D管全部点亮时,表示比赛结束。
这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。
3、方案论证与设计电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。
由一排L E D发光二极管表示拔河的“电子绳”。
游戏双方各拥有一个比赛时使用的按钮,当参与者不停的按动按钮的时候就产生脉冲,谁快产生的脉冲就多,这样由甲乙双方通过按扭开关使发光的L E D管向一方的终点延伸,双方通过按扭输入信号,使得计数电路实现计数功能,当延伸到某方的最后一个L E D管时,则该方获胜,连续比赛多局以定胜负。
通过设计多谐振荡器提供输入脉冲,用可逆计数器,译码器,将甲乙双方的输入转换为脉冲,再经过译码,显示译码器和七段数码管实现电路的记分功能。
用开关设计的裁判可以实现电路的记分和清零功能。
目录1 概述…………………………………………………………………………⑴1.1设计思想及说明……………………………………………………………⑴2 系统总体方案及硬件设计……………………………………………………⑸2.1实验设备及各器件功能………………………………………………⑸~⑼2.2设计步骤………………………………………………………………⑼~⑿2.3实验电路框图………………………………………………………………⒀2.4 作品介绍……………………………………………………………………⒀3心得体会………………………………………………………………………⒁4 参考文献………………………………………………………………………⒂附1:系统原理图………………………………………………………………⒃一概述1.1设计思想及说明电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。
数字逻辑电路课程设计实验报告
数字逻辑电路课程设计---------多功能数字时钟班级:姓名:学号:指导老师:时间:1.设计目的掌握使用VHDL语言的设计思想;对整个系统的设计有一个了解掌握各类计数器以及它们相连的设计方法;掌握MAX+plus技术的层次化设计方法;掌握多个数码管显示的原理与方法;2.设计任务及要求(1)拥有正常的时,分,秒计时功能。
(2)能利用实验板上的按键实现校时,校分及秒清零功能。
(3)能利用实验板上的扬声器做整点报时。
(4)闹钟功能。
(5)在MAXPLUSⅡ中采用层次化设计方法进行设计。
(6)完成全部电路设计后在实验板上下载,验证设计课题的正确性。
3.原理叙述数字钟电路主要由译码显示器、校准电路、报时电路、时计数、分计数、秒计数器,振荡电路和单次脉冲产生电路组成。
其中电路系统由秒信号发生器、“时”、“分”、“秒”计数器、译码器及显示器、校准电路、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。
时计数器采用24进制计时器,可实现对一天24小时的计时。
译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现低、高音报时。
校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。
如图1所示多功能数字钟的组成框图。
4. 设计方案根据总体设计框图,可以将整个系统分为六个模块来实现,分别是计时模块,校时模块,整点报时模块,分频模块,动态显示模块及闹钟模块。
(1)计时模块该模块的设计相对简单,使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。
数字逻辑课程设计报告
数字逻辑课程设计报告一、课程目标知识目标:1. 让学生掌握数字逻辑电路的基本概念,包括逻辑门、逻辑函数、逻辑代数等;2. 培养学生运用逻辑门设计简单组合逻辑电路的能力;3. 使学生了解数字电路的时序元件,如触发器、计数器等,并掌握其工作原理。
技能目标:1. 培养学生运用所学知识分析、设计及验证数字逻辑电路的能力;2. 培养学生使用相关软件(如Multisim、Proteus等)进行数字电路仿真实验;3. 提高学生的逻辑思维和问题解决能力。
情感态度价值观目标:1. 激发学生对数字逻辑电路的兴趣,培养其主动探究、积极思考的学习态度;2. 培养学生的团队协作精神,使其在合作中共同进步,相互学习;3. 引导学生关注数字逻辑电路在实际应用中的价值,如计算机、通信等领域。
分析课程性质、学生特点和教学要求:本课程为电子信息类学科的基础课程,旨在让学生掌握数字逻辑电路的基本知识和技能。
学生处于高中阶段,具有一定的物理和数学基础,但逻辑电路知识尚浅。
因此,教学要求以实用性为导向,注重培养学生的实际操作能力和逻辑思维能力。
课程目标分解为具体学习成果:1. 学生能够正确描述常见逻辑门的功能和特点,并运用逻辑门设计简单的组合逻辑电路;2. 学生能够运用时序元件设计基本的数字电路,如触发器、计数器等;3. 学生能够在团队协作中完成数字电路的设计、仿真和验证,提高解决问题的能力;4. 学生能够认识到数字逻辑电路在实际应用中的重要性,培养其学习兴趣和价值观。
二、教学内容根据课程目标,教学内容主要包括以下几部分:1. 数字逻辑电路基本概念- 逻辑门原理与分类(教材第1章)- 逻辑函数及其表示方法(教材第2章)- 逻辑代数基本运算与化简(教材第3章)2. 组合逻辑电路设计- 组合逻辑电路分析方法(教材第4章)- 常见组合逻辑电路设计(教材第5章)- 组合逻辑电路的仿真与验证(教材第6章)3. 时序逻辑电路设计- 触发器原理与分类(教材第7章)- 计数器设计与应用(教材第8章)- 时序逻辑电路的仿真与验证(教材第9章)4. 数字电路实践操作- 实验一:逻辑门功能验证(教材附录A)- 实验二:组合逻辑电路设计与仿真(教材附录B)- 实验三:时序逻辑电路设计与仿真(教材附录C)教学大纲安排与进度:第1-2周:数字逻辑电路基本概念(第1-3章)第3-4周:组合逻辑电路设计(第4-6章)第5-6周:时序逻辑电路设计(第7-9章)第7-8周:数字电路实践操作(附录A、B、C)三、教学方法针对本课程的教学目标和内容,选择以下多样化的教学方法,以激发学生学习兴趣和主动性:1. 讲授法:- 用于讲解数字逻辑电路的基本概念、原理和性质,如逻辑门、逻辑函数、逻辑代数等;- 结合多媒体演示,使抽象的理论知识形象化,便于学生理解。
数字逻辑电路设计 课程报告..
《数字逻辑电路设计》课程设计总结报告题目:比赛计时器指导教师:设计人员(学号):班级:电信122班日期:2014-5-24目录一.设计任务书 (1)二.设计框图及整机概述 (1)三.各单元电路的设计方案及原理说明 (2)1、秒计数、译码及显示部分的设计 (2)2、分计数、译码及显示部分的设计 (4)3、控制电路及报警电路部分的设计 (6)四.调试过程及结果分析 (7)五.设计、安装及调试中的体会 (8)六.对本次课程设计的意见及建议 (9)七.附录(包括:整机逻辑电路图和元器件清单 (10)八.关于FPGA (11)一、设计任务书基本设计要求:电脑仿真,电路板布线计时器应具有以下功能:(1)显示时间:分钟,秒钟(时间为学号后两位,小于20的加上20)(2)设置操作开关,计时器具有清零,启动、暂停和继续的功能。
(3)场次至少有两次(可多次),半场结束时,有报警信号;比赛结束时,计时器停止工作,有报警信号。
(可用发光二极管显示)3、给定条件只能采用实验室提供的中小规模电路进行设计;实验室提供基本元件,做完后交回。
二、设计框图及整机概述整体设计原理框图:整机概述:本实验利用数码管、计数器、译码器、逻辑门等器件实现计时器功能。
时钟脉冲通过CLK 接入计数器,计数器开始计数,再由Q A Q B Q C Q D 接入译码器,实现译码功能,然后由译码器输出端接入数码管,显示出计时数字。
而具体的进制及控制功能通过逻辑门的与门、或门、非门等来实现。
这就是整个实验的基本构思。
在这次实验中,我所做的比赛计时器的终场时间是28分钟,因此,通过逻辑门控制秒信号的两块芯片进制为60,分信号的两块芯片分别到2、8停止计数即可。
三.各单元电路的设计方案及原理说明 秒信号由实验箱提供,无需另行设计1、秒计数、译码及显示部分的设计计数器译码器(数码管显示,加限流电阻)控制电路报警电路(可用发光二极管)秒信号(实验箱提供)秒计数器为M=60的计数器,即显示00~59,采用中规模集成电路双十进制计数器至少需要2片,本次实验中采用两片74LS160,它的个位为十进制,十位为六进制。
数字电路与逻辑课程设计报告
课程设计名称:四路彩灯显示系统一设计任务与要求(1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。
(2)设置的彩灯花型由三个节拍组成:第一节拍:四路彩灯逐次渐亮,灯亮的时间为1s,共用4s;第二节拍:四路彩灯按逆序渐灭,也需要4s;第三节拍:四路彩灯同时亮0.5s,然后同时灭0.5s,要进行四次,所需时间也是4s。
三个节拍完成一个循环,一共需要12s。
一次循环之后重复进行闪烁。
(3)彩灯可用发光二极管(LED)模拟。
二设计的整体思路,工作原理以及系统框图1整体思路:四路彩灯即有思路输出,设依次为Qd,Qc,Qb,Qa,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表输显示。
表1 四路彩灯输出显示有表可知,需要一个分频器起节拍产生和控制作用,每4秒一个节拍,3个节拍共12秒后又反复循环。
一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮,渐灭,同时亮,同时灭等功能。
2工作原理:根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。
时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。
前两个节拍由74LS194芯片左移右移功能易于实现,第三个节拍整体送数,利用异步清零将清零端置0达0.5秒即可。
3系统框图:四路彩灯显示系统方框图:4单元电路设计及单元电路:(1)分频器:本实验中对脉冲实现分频可以用74LS161计数器实现分频的电路(2)循环控制电路:如果摸N计数器的计数序列从最小1到最大数N,那么N+1是多余,也用与非门检测N,当N出现时,与非门输出为低,用它控制清零端(CR非),将计数器清零。
此时工作状态从0001-1100,检测到1101时异步清零。
(3)彩灯花样输出电路:运用到74LS194功能5总电路设计电路图:四路彩灯显示系统的工作过程如表2所示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
湖北文理学院理工学院数字逻辑电路课程设计报告课题名称八路智能抢答器姓名(学号)组号专业2014年 9 月 18 日【摘要】随着科技的日新月异,促使人们开始学科学、学技术、学知识。
抢答器是一个机关学校开展智力竞赛活动必不可少的设备,广泛应用于学校、教育部门、企事业工会组织、俱乐部等单位组织举办各种知识、技术竞赛及文娱活动时作抢答使用。
八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及报警电路。
抢答器数字优先编码电路由D1-D12组成实现数字的编码。
CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。
抢答器报警电路由NE555接成音多谐振荡器构成。
抢答器数码显示电路由数码管组成,输入的BCD码自动地由CD4511内部电路译码成十进制数在数码管上显示。
经过布线、焊接、调试等工作的八路数字智能抢答器成形,更具有实用性。
本文介绍了一种用CD4511系列常用集成电路设计的数码显示八路抢答器的电路组成、设计思路及功能。
该抢答器除具有基本的抢答功能外,还具报警功能。
若在规定的时间内有人抢答,则计时将自动停止,数码管显示成功抢答者代码;若不在规定时间内有人违规抢答,则系统中的蜂鸣器将发响,数码管显示违规抢答者代码;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能。
【关键字】八路智能抢答器、CD4511、NE555、数码显示管、设计、报警电路、数字编码电路目录1、设计题目八路智能抢答器计 (3)2、设计目的及要求2.1 设计目的 (3)2.2 设计要求 (3)3、方案论证3.1.电源部分 (3)3.2.电路部分 (3)4、设计思路4.1八路智能抢答器的结构框图 (4)4.2八路智能抢答器的工作流程 (4)4.3八路智能抢答器的工作过程 (4)5、设计原理5.1设计中的数字编码电路 (5)5.2设计中的译码/优先/锁存电路5.2.1CD4511的引脚 (6)5.2.2译码驱动功能 (8)5.2.3锁存优先功能 (8)5.3抢答器设计中的数码显示电路 (9)5.4抢答器设计中的报警电路 (10)6、设计的装备与调试 (12)7、设计完成的电路图7.1八路智能抢答器工作原理电路图 (12)7.2八路智能抢答器实物图 (13)8、设计所需的元件清单 (13)9.设计心得与体会 (13)10.参考文献 (14)八路智能抢答器设计2.设计目的及要求2.1 设计目的:a.掌握电子电路的一般设计方法,了解电子产品研制开发过程。
b.巩固和加强《数字电子技术》的理论知识。
c.提高电子电路实验技能及仪器使用能力。
d.掌握电子电路安装与调试方法及故障排除方法。
e.学会撰写课程设计实验报告。
f.培养学生独立分析解决问题的能力。
2.2 设计要求a.八路智能抢答器可以供8名选手或8个代表队比赛,分别用8个按钮S1~S8来表示。
b.有一个主持人控制电路,由主持人通过S9按钮来控制抢答器的运行。
c.抢答器具有锁存、显示和报警功能。
即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。
d.设计小组完成数字课程设计的逻辑设计、电路设计、PCB板设计、电路组装和行调试以及设计报告。
3.方案论证3.1电源部分电源部分为供给控制电路、数码显示电路的电源,本设计可采用以下三种方案。
方案一:选用变压器。
特点:性能好、经久耐用、笨重、成本低、电路简单。
方案二:选用开关电源特点:性能好、体积小、电路复杂、成本高。
方案三:选用RC电路特点:体积小,成本低,性能差,安全性低。
根据要求并结合实际,本设计选用方案一。
3.2电路部分电路部分是设计的关键,本设计可采用以下两种方案。
方案一:系统各部分采用中小规模集成数字电路,用机械开关按钮作为控制开关,完成抢答输入信号的触发。
它的特点是中小规模集成电路应用技术成熟,性能可靠,能方便地完成选手抢答的基本功能,除此之外,它的原理比较简单,集成块的价格也比较便宜且很容易购买,与我们学完的〈〈数字电子技术〉〉联系紧密,能将我们所学知识用于实际,对巩固所学知识有重要意义,用了一些成型电路,如NE555标准秒脉冲电路等,使总体方案易于实现。
方案二:系统采用51单片机系列中的AT89S51作为控制核心,可以完成运算控制、信号识别以及显示功能的实现。
它的特点是技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现,但我们还未开设此课,对此相对陌生,复杂的逻辑编程控制指令大大地增加了设计的难度。
根据要求并结合实际,本设计选用方案一。
4.1八路智能抢答器的结构框图电路完成了基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。
而报警电路则是起到提示作用。
其工作原理为:接通电源后,当主持人按下复位键,宣布"开始"抢答器工作。
选手即可开始抢答,当选手按下抢答键后,抢答器完成:编码、优先锁存、译码、数码显示。
当一轮抢答之后,只有主持人按下复位键,才能进行下一轮抢答,否则抢答无效。
图4.1—抢答器的结构框图4.2八路智能抢答器的工作流程抢答器的基本工作原理:在上电之后,系统开始运行,在抢答过程中,会有多个信号同时或不同时送入主电路中,抢答器内部电路和CD4511集成芯片会开始工作,并识别、记录第一个号码。
在整个抢答器工作过程中,编码电路、优先\锁存\译码电路、显示电路、报警电路都会运行。
抢答器的工作流程分为正常抢答流程、主持人复位等几部分,如图2所示。
4.3八路智能抢答器的工作过程a. 开始上电之后,主持人按复位键,抢答开始。
如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。
b. 当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。
c. 如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。
总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。
图4.3—抢答器的工作流程5.设计原理八路智能抢答器主要由数字编码电路、译码\优先\锁存驱动电路、数码显示电路和报警电路组成。
现简单介绍八路智能抢答器设计中的各单元电路的设计原理。
5.1设计中的数字编码电路参考电路如图3所示,S1—S8组成1—8路抢答器,D1—D12组成数字编码器。
该电路完成的功能是:通过编码二极管编成BCD码,将高电平加到CD4511所对应的输入端。
从CD4511的引脚可以看出,引脚6,2,1,7分别为BCD码的D、C、B、A位(D为高位,A为低位,即D、C、B、A分别代表BCD码8、4、2、1位)。
工作过程:当电路上电,主持人按下复位键,选手就可以开始抢答。
当选手1按下S1抢答键,高电平通过编码二极管D1加到CD4511集成芯片的7脚(A位),7脚为高电平,1、2、6脚保持低电平,此时CD4511输入BCD码为“0001”;当选手2按下S2抢答键,高电平通过编码二极管D2加到CD4511集成芯片的1脚(B位),1脚为高电平,2、6、7脚保持低电平,此时CD4511输入BCD码为“0010”;当选手3按下S3抢答键,高电平通过编码二极管D3、D4加到CD4511集成芯片的1、7脚(B、A位),1、7脚为高电平,2、6脚保持低电平,此时CD4511输入BCD码为“0011”;当选手4按下S4抢答键,高电平通过编码二极管D5加到CD4511集成芯片的2脚(C位),2脚为高电平,1、6、7脚保持低电平,此时CD4511输入BCD码为“0100”;当选手5按下S5抢答键,高电平通过编码二极管D6、D7加到CD4511集成芯片的2、7脚(C、A位),2、7脚为高电平,1、6脚保持低电平,此时CD4511输入BCD码为“0101”;当选手6按下S6抢答键,高电平通过编码二极管D8、D9加到CD4511集成芯片的1、2脚(B、C位),1、2脚为高电平,6、7脚保持低电平,此时CD4511输入BCD码为“0110”;当选手7按下S7抢答键,高电平通过编码二极管D10、D11、D12加到CD4511集成芯片的1、2、7脚(B、C、A位),1、2、7脚为高电平,6脚保持低电平,此时CD4511输入BCD码为“0111”;当选手8按下S8抢答键,高电平加到CD4511集成芯片的6脚(D位),6脚为高电平,1、2、7脚保持低电平,此时CD4511输入BCD码为“1000”。
输入的BCD码就是键的号码,并自动地由CD4511内部电路译码成十进制数在数码管上显示。
输入输出S1 S2 S3 S4 S5 S6 S7 S8 D C B A1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 00 0 0 0 0 1 0 0 0 0 0 0 0 0 1 00 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 00 1 1 11 0 0 0表5.1—数字编码电路功能真值表5.2设计中的译码/优先/锁存电路CD4511是一个用于驱动共阴极LED(数码管)显示器的BCD 码—七段码译码器,特点:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。
可直接驱动LED显示器。
CD4511 是一片CMOS BCD—锁存/7 段译码/驱动器,引脚排列如图5.2.1所示。
其中A、B、C、D为BCD 码输入,A为最低位。
LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。
BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时,B1端应加高电平。
另外CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。
LE是锁存控制端,高电平时锁存,低电平时传输数据。
a~g是7 段输出,可驱动共阴LED 数码管。
另外,CD4511显示数“6”时,a段消隐;显示数“9”时,d段消隐,所以显示6、9这两个数时,字形不太美观。
5.2.1 CD4511的引脚图,如图5.2所示各引脚的名称:其中7、1、2、6分别表示BCD码的A、B、C、D位;5、4、3分别表示LE、BI、LT;13、12、11、10、9、15、14分别表示 a、b、c、d、e、f、g;上边的引脚表示输入,下边的引脚表示输出;还有两个引脚8、16分别表示的是VDD、VSS。