SERDES的FPGA实现

合集下载

FPGA高速收发器

FPGA高速收发器

FPGA高速收发器设计准则高速收发器(SERDES)的运用范围十分广泛,包括通讯、计算机、工业和储存,以及必须在芯片与芯片/模块之间、或在背板/电缆上传输大量数据的系统。

但普通高速收发器的并行总线设计已无法满足现在的要求。

将收发器整合在FPGA中,成为解决这一问题的选择办法。

高速设计用FPGA具备嵌入式数Gb收发器的低功耗FPGA架构,它能让设计人员利用高生产率的EDA工具提供实体层和逻辑层建构模块,研发出低成本的小型系统,使得设计师能够快速解决协议和速率的变化问题,以及为了提高性能和增加新功能时,必须进行设计修改所面临的重新编程问题,这些迫切需求的灵活性无法在ASIC和ASSP方案中获得。

FPGA提供了一种单芯片解决方案,克服了多芯片方案中的互通作业、布线和功率问题。

FPGA中的收发器在克服讯号完整性问题的同时,也能工作在一系列不同的系统或协议环境中。

收发器选择考虑收发器的选择对于要获得所需的功能设计而言相当关键。

设计师必须在设计初期阶段就分析收发器的功能和性能,并融合频宽需求、协议、多媒体类型、EMC和互通作业性所决定的设计准则指导选择。

收发器的选择应该包括规格的符合性验证;针对抖动、噪音、衰减和不连续性等不利条件下的免疫能力或补偿能力;以及应用中的传输媒介的类型。

根据目前多数组件存在的收发器错误纪录,不难发现将混合讯号收发器整合在数字电路FPGA中仅取得了有限的成功。

因此,系统设计师在验证市场需求时要特别小心,要紧盯着制程、电压、温度、核心以及I/O端口,还有硅芯片生产能力等各方面的验证工作。

评估收发器发射性能的重要工具是眼图。

这是建构在一系列分层PRBS周期上的发射机波形图量度。

透过利用眼状模板,眼图可用来显示特定指针的符合性。

如果波形没有侵占眼图模板的张开区,通常意味着它符合抖动、噪音和幅度指针。

另外,为确保采用随机性较高的PRBS序列,并将在示波器上撷取的波形采样数量减到最少,以便它们不会被错误地表征较差的PRBS性能,需要一个非常谨慎的方案。

基于FPGA的serdes电路之comma和PRBS检测电路设计说明书

基于FPGA的serdes电路之comma和PRBS检测电路设计说明书

基于FPGA的Serdes接口电路设计Comma和PRBS检测方案(仅供内部使用)拟制:谭宇日期:2013/09/13审核:姚亚峰日期:内部资料请勿外传修订记录日期修订版本描述作者2012/09/13 1.00初稿完成谭宇目录1.Serdes接口电路整体结构图 (3)2.概述 (5)2.1.文档版本 (5)2.2.相关标准 (5)2.3.开发环境 (5)3.Serdes接口电路选型 (5)3.1.Serdes芯片架构选型 (5)3.2.Serdes主要性能指标 (7)ma检测电路原理和实现 (7)ma检测电路原理 (7)ma的设计思路 (8)5.PRBS电路原理和实现 (9)5.1.PRBS电路原理 (9)5.2.PRBS电路实现过程 (10)6.说明 (10)Comma和PRBS检测电路设计说明书关键词:Serdes接口电路设计摘要:随着电子行业技术的发展,特别是在传输接口的发展上,原本用于光纤通信的Serdes 技术成为了为高速串行接口的主流。

它是一种时分多路复用(TDM)、点对点(P2P)的串行通信技术。

即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。

这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,从而大大降低通信成本。

安华高,博通,飞兆等国外半导体公司均已推出基于独立的Serdes 芯片,而国内目前还基本处于预研阶段。

本文就以基于FPGA的Serdes芯片IP core中Comma和PRBS检测电路部分做详细的介绍和说明。

缩略语清单:Serdes Serializer/Deserializer串行器/解串器PRBS Pseudo-Random Binary Sequence伪随机二进制序列1.Serdes接口电路整体结构图图1.Serdes接口电路整体结构图Serdes接口电路为一个全双工电路,同时又具有点对点的高效传输。

(完整版)SERDESFPGA设计手册

(完整版)SERDESFPGA设计手册

编号:版本:V0.2页数:共页密级:SERDES FPGA设计手册更改记录注:作者兜福邮箱:zouxingyu705@,多多交流,共同进步。

目录SERDES FPGA设计手册 (1)目录 (2)1目的 (5)2范围 (5)3术语 (5)4SERDES基础知识 (5)5SERDES应用指南 (5)5.1ISERDES (5)5.1.1ISERDES基元 (5)5.1.2ISERDES基元的时钟解决方案 (9)5.2OSERDES (10)5.2.1OSERDES组成功能模块 (10)5.2.2OSERDES基元 (12)5.2.3OSERDES基元的时钟解决方案 (13)6SERDES应用指南 (14)6.1ISERDES设计 (14)6.1.1单个ISERDES单元设计(SDR) (14)6.1.1.1ISERDES配置参数 (14)6.1.1.2设计思想 (17)6.1.1.3仿真结果 (17)6.1.1.4ISERDES数据时序 (18)6.1.1.4.1ISERDES输入数据时序 (18)6.1.1.4.1ISERDES输出数据时序 (19)6.1.2单个ISERDES单元设计(DDR) (20)6.1.2.1ISERDES配置参数 (20)6.1.2.2设计思想 (20)6.1.2.3仿真结果 (20)6.1.3ISERDES宽度扩展 (20)6.1.3.1设计实例 (21)6.1.3.2仿真结果 (24)6.2OSERDES设计 (24)6.2.1单个OSERDES单元设计(SDR) (24)6.2.1.1OSERDES配置参数 (24)6.2.1.2设计思想 (26)6.2.1.3仿真结果 (27)6.2.1.1OSERDES基元SDR模式时序 (27)6.2.2单个OSERDES单元设计(DDR) (27)6.2.2.1OSERDES配置参数 (28)6.2.2.2设计思想 (28)6.2.2.3仿真结果 (28)6.2.2.1OSERDES基元SDR模式时序 (29)6.2.3OSERDES宽度扩展 (29)6.2.3.1设计实例 (29)6.2.3.1仿真结果 (31)1目的为了学习xilinx serdes原语的使用,以及交流学习经验,在工程项目中方便的应用SERDES进行设计,故编写此文档。

SERDES FPGA设计手册

SERDES FPGA设计手册

编号:版本:页数:共页密级:SERDES FPGA设计手册更改记录注:作者兜福邮箱:,多多交流,共同进步。

目录SERDES FPGA设计手册错误!未定义书签。

目录错误!未定义书签。

1目的错误!未定义书签。

2范围错误!未定义书签。

3术语错误!未定义书签。

4SERDES基础知识错误!未定义书签。

5SERDES应用指南错误!未定义书签。

ISERDES 错误!未定义书签。

ISERDES基元错误!未定义书签。

ISERDES基元的时钟解决方案错误!未定义书签。

OSERDES 错误!未定义书签。

OSERDES组成功能模块错误!未定义书签。

OSERDES基元错误!未定义书签。

OSERDES基元的时钟解决方案错误!未定义书签。

6SERDES应用指南错误!未定义书签。

ISERDES设计错误!未定义书签。

单个ISERDES单元设计(SDR) 错误!未定义书签。

配置参数错误!未定义书签。

设计思想错误!未定义书签。

仿真结果错误!未定义书签。

数据时序错误!未定义书签。

输入数据时序错误!未定义书签。

输出数据时序错误!未定义书签。

单个ISERDES单元设计(DDR) 错误!未定义书签。

配置参数错误!未定义书签。

设计思想错误!未定义书签。

仿真结果错误!未定义书签。

ISERDES宽度扩展错误!未定义书签。

设计实例错误!未定义书签。

仿真结果错误!未定义书签。

OSERDES设计错误!未定义书签。

单个OSERDES单元设计(SDR) 错误!未定义书签。

配置参数错误!未定义书签。

设计思想错误!未定义书签。

仿真结果错误!未定义书签。

基元SDR模式时序错误!未定义书签。

单个OSERDES单元设计(DDR) 错误!未定义书签。

配置参数错误!未定义书签。

设计思想错误!未定义书签。

仿真结果错误!未定义书签。

基元SDR模式时序错误!未定义书签。

OSERDES宽度扩展错误!未定义书签。

设计实例错误!未定义书签。

仿真结果错误!未定义书签。

目的为了学习xilinx serdes原语的使用,以及交流学习经验,在工程项目中方便的应用SERDES进行设计,故编写此文档。

基于FPGA的SoftSerdes设计与实现

基于FPGA的SoftSerdes设计与实现

样 。D R D 操作 时 。每 个通 道有 两路 延 迟线 :一 个 用来 在 上 升 沿 抽样 :另 一 个 用来 在下 降 沿抽 样 。 每条 延迟 线都 由8 配置 为反 相器 的查 找表 构 成 , 个 这样 既可 保证 上 升 和下降 时 间的对 称 ,也 能保 证
抽 样 数据 之 间的 规则 分布 。但应 注 意 :输 入单 元 的输入 节点必 须 以很小 的se 到达 两条延 迟线 。 kw
维普资讯
第9 卷
期 2 7 第月 0年 8 0 8

V1 N. o o . 8 9
Aug 2 07 . 0
基于F G 的S f ed s P A ot re 设计 与实现 S
张 兵 兵 . 杨 银 堂
( 西安 电子科技 大学微 电子 学 院 ,陕 西 西安 7 07) 10 1
了延 迟线 的构 成 。
1 设 计 原 理 与 实 现 方 案
基 于F G P A实现S f ed s ot re主要 由四部 分 构成 : S 时钟产 生单 元 、数 据抽样 延 迟线 、数 据 恢 复状 态 机 和 输 出 弹性 缓 冲 器 。 图 1 示是 S f ed s 实 所 ot re的 S 现 原理 图 。S f ed s o S re基本 的实 现过 程 是用 一个 双 i 倍 数 据率 ( D )全 局 抽样 时钟 对 多 抽 头延 时 线 D R 的延 时 数据进 行 抽样 ,它 由数 据恢 复 状 态机 利 用 边 沿 信 息 不 断 的从 多 抽 头 延 时线 中选 择 有 效 抽 样 .然后 把正确 的抽 样送 给输 出弹性 缓 冲器 。
uv . d. 20 . 电 子 元 器 件 主 硐 n e a n 0 78 w c c 4 7

高性能FPGA中的高速SERDES接口

高性能FPGA中的高速SERDES接口

高性能FPGA中的高速SERDES接口引言串行接口常用于芯片至芯片和电路板至电路板之间的数据传输。

随着系统的带宽不断增加至多吉比特范围,并行接口已经被高速串行链接,或SERDES (串化器/ 解串器)所取代。

起初, SERDES是独立的ASSP或ASIC器件。

在过去几年中已经看到有内置SERDES 的FPGA器件系列。

这些器件对替代独立的SERDES器件很有吸引力。

然而,这些基于SERDES的FPGA往往价格昂贵,因为它们是高端(因而更昂贵) FPGA器件系列的一部分。

莱迪思半导体公司在这一领域一直是先驱者,已经推出了两款低成本带有SERDES的 FPGA器件系列,在2007年推出了LatticeECP2M,最近又推出了 LatticeECP3 。

ECP2M 和ECP3 FPGA为设计者提供了两全其美的产品:一种高性能、低成本具有内置高性能SERDES 的FPGA。

这些器件为设计人员提供一个低成本综合平台,以满足他们设计下一代产品的需求。

莱迪思还为客户提供了高性能具有SERDES的FPGA器件系列LatticeSC /M,芯片上拥有额外的ASIC IP。

莱迪思的SERDES设计超过了各种常用协议规定的严格的抖动和驱动需求。

LatticeECP2M和LatticeECP3的低成本、高性能带有SERDES功能的FPGA系列为用户设计下一代系统提供了一个很好的平台。

器件的一些亮点如下:∙低功耗:工作于3.2Gbps的速率时,每个通道功耗额定为90mW 。

∙针对芯片至芯片和小型背板(不超过40英寸的FR - 4 ),能可靠传输和恢复串行信号。

∙嵌入式物理编码子层块,支持流行的串行协议,如1吉比特以太网,10吉比特以太网(XAUI )、PCI Express 、Serial RapidIO SMPTE 。

∙支持无线协议,如CPRI 、OBSAI等,包括用于实现多跳的一个低延迟变化选择。

∙灵活的SERDES模块:多个标准/协议可以混合于单个模块中。

LVDS SERDES Intel FPGA IP 发布说明说明书

LVDS SERDES Intel FPGA IP 发布说明说明书

LVDS SERDES Intel® FPGA IP Release NotesOnline VersionSend FeedbackRN-1188683575 2023.12.04Contents ContentsLVDS SERDES Intel® FPGA IP Release Notes (3)LVDS SERDES Intel FPGA IP (intel_lvds) v23.1.0 (3)LVDS SERDES Intel FPGA IP (intel_lvds) v23.0.0 (4)LVDS SERDES Intel FPGA IP v20.0.1 (4)LVDS SERDES Intel FPGA IP v20.0.0 (4)LVDS SERDES Intel FPGA IP v19.5.0 (4)LVDS SERDES Intel FPGA IP v19.4.0 (4)LVDS SERDES Intel FPGA IP v19.3.0 (5)LVDS SERDES Intel FPGA IP v18.1 (5)LVDS SERDES Intel FPGA IP v18.0 (5)Intel FPGA LVDS SERDES IP Core v17.1 (6)Altera LVDS SERDES IP Core v17.0 (6)Altera LVDS SERDES IP Core v14.1 (6)Altera LVDS SERDES IP Core v14.0 Arria 10 Edition (6)Intel Agilex 7 F-Series and I-Series General-Purpose I/O User Guide Archives (7)Intel Stratix 10 High-Speed LVDS I/O User Guide Archives (7)LVDS SERDES Intel FPGA IP User Guide Archives (7)LVDS SERDES Intel®FPGA IP Release Notes Send Feedback2LVDS SERDES Intel® FPGA IP Release NotesIf a release note is not available for a specific IP version, the IP has no changes in thatversion. For information on IP update releases up to v18.1, refer to the Intel®Quartus® Prime Design Suite Update Release Notes.Intel FPGA IP versions match the Intel Quartus Prime Design Suite software versionsuntil v19.1. Starting in Intel Quartus Prime Design Suite software version 19.2, IntelFPGA IP has a new versioning scheme.The Intel FPGA IP version (X.Y.Z) number can change with each Intel Quartus Primesoftware version. A change in:•X indicates a major revision of the IP. If you update the Intel Quartus Primesoftware, you must regenerate the IP.•Y indicates the IP includes new features. Regenerate your IP to include these new features.•Z indicates the IP includes minor changes. Regenerate your IP to include these changes.Related Information•Introduction to Intel FPGA IP Cores•Intel Agilex® 7 LVDS SERDES User Guide: F-Series and I-Series•Intel Agilex® 7 LVDS SERDES User Guide: M-Series•Intel Stratix® 10 High-Speed LVDS I/O User Guide•LVDS SERDES Intel FPGA IP User Guide: Intel Arria® 10 and Intel Cyclone® 10 GX Devices•Intel Quartus Prime Design Suite Version 18.1 Update Release NotesLVDS SERDES Intel FPGA IP (intel_lvds) v23.1.0Table 1.v23.1.0 2023.12.04Intel Quartus Prime Version Description Impact23.4Added the Transmitter Settings tab to support tx_outclockwith these parameters:•Enable tx_outclock port•Desired tx_outclock phase shift (degrees)•Actual tx_outclock phase shift (degrees)•Tx_outclock division factor Upgrade and recompilation of the IP are required only if you want to use tx_outclock.Timing optimizations to make meeting setup and hold requirements easier.Upgrade and recompilation are not required.683575 | 2023.12.04Send FeedbackIntel Corporation. All rights reserved. Intel, the Intel logo, and other Intel marks are trademarks of IntelCorporation or its subsidiaries. Intel warrants performance of its FPGA and semiconductor products to current specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Intel assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.*Other names and brands may be claimed as the property of others.ISO 9001:2015 RegisteredLVDS SERDES Intel FPGA IP (intel_lvds ) v23.0.0Table 2.v23.0.0 2023.10.02Intel Quartus Prime VersionDescriptionImpact23.3Added automatic bytes and pins selection in the pin settings tab.Related parameter changes:•TX_CH_*_BYTE changed to TX_CH_*_BYTE_USR •TX_CH_*_PIN changed to TX_CH_*_PIN_USR •RX_CH_*_BYTE changed to RX_CH_*_BYTE_USR •RX_CH_*_PIN changed to RX_CH_*_PIN_USRRecompilation of the IP is required.LVDS SERDES Intel FPGA IP v20.0.1Table 3.v20.0.1 2023.04.10Intel Quartus Prime VersionDescriptionImpact23.2Initial release of intel_lvds IP to support Intel Agilex ® 7 M-Series FPGAs and SoCs.—LVDS SERDES Intel FPGA IP v20.0.0Table 4.v20.0.0 2021.03.29Intel Quartus Prime VersionDescriptionImpact21.1•Optimized settings at the boundary between the FPGA fabric and I/O for LVDS SERDES IP use cases for Intel Agilex 7devices.Recompilation of the IP isrequired only for Intel Agilex 7devices.LVDS SERDES Intel FPGA IP v19.5.0Table 5.v19.5.0 2020.09.28Intel Quartus Prime VersionDescriptionImpact20.3Improved the power usage of the IP in Intel Agilex 7 devices.—Related InformationIntel Agilex General-Purpose I/O and LVDS SERDES User GuideLVDS SERDES Intel FPGA IP v19.4.0Table 6.v19.4.0 2020.04.13Intel Quartus Prime VersionDescriptionImpact20.1Add additional delay to the pll_locked signal assertion toensure the IP is properly locked to the PLL before IP initialization in Intel Agilex 7 devices.—LVDS SERDES Intel ® FPGA IP Release Notes683575 | 2023.12.04LVDS SERDES Intel ® FPGA IP Release Notes Send Feedback4Related InformationIntel Agilex General-Purpose I/O and LVDS SERDES User GuideLVDS SERDES Intel FPGA IP v19.3.0Table 7.v19.3.0 2019.12.16Intel Quartus Prime VersionDescriptionImpact19.4Added support for Intel Agilex 7 devices.—Related InformationIntel Agilex General-Purpose I/O and LVDS SERDES User GuideLVDS SERDES Intel FPGA IP v18.1Table 8.v18.1 September 2018Related Information •Introduction to Intel FPGA IP Cores•Intel Stratix 10 High-Speed LVDS I/O User Guide •LVDS SERDES Intel FPGA IP User GuideLVDS SERDES Intel FPGA IP v18.0Table 9.v18.0 May 2018DescriptionImpactRenamed the IP core from "Intel FPGA LVDS SERDES" to "LVDS SERDES Intel FPGA IP".-Related Information •Introduction to Intel FPGA IP Cores•Intel Stratix 10 High-Speed LVDS I/O User Guide •LVDS SERDES Intel FPGA IP User GuideLVDS SERDES Intel ® FPGA IP Release Notes 683575 | 2023.12.04Send FeedbackLVDS SERDES Intel ® FPGA IP Release Notes5Intel FPGA LVDS SERDES IP Core v17.1Table 10.v17.1 November 2017DescriptionImpactAdded support for Intel Stratix 10 devices:•Duplex feature to allow transmitter and receiver channels in the same I/O bank•Clock phase alignment (CPA) block for improved timing closure between the peripheryand the core —Renamed Altera LVDS SERDES IP core to Intel FPGA LVDS SERDES IP core as per Intel rebranding.—Related Information •Introduction to Intel FPGA IP Cores•Intel Stratix 10 High-Speed LVDS I/O User Guide •Intel FPGA LVDS SERDES IP Core User GuideAltera LVDS SERDES IP Core v17.0Table 11.v17.0 May 2017DescriptionImpactAdded support for Intel Cyclone ® 10 GX devices.-Related Information •Introduction to Intel FPGA IP Cores •Altera LVDS SERDES IP Core User GuideAltera LVDS SERDES IP Core v14.1Table 12.v14.1 December 2014DescriptionImpactAdded internal PLL additional clock export parameter-Related InformationAltera LVDS SERDES IP Core User GuideAltera LVDS SERDES IP Core v14.0 Arria 10 EditionTable 13.v14.0 Arria 10 Edition August 2014DescriptionImpactAdded feature that creates .sdc file for generated designs (previously only for example designs)-Added support for external PLL mode-Added option to clock TX core registers using reference clock-LVDS SERDES Intel ® FPGA IP Release Notes683575 | 2023.12.04LVDS SERDES Intel ® FPGA IP Release Notes Send Feedback6Related InformationAltera LVDS SERDES Megafunction User GuideIntel Agilex 7 F-Series and I-Series General-Purpose I/O User Guide ArchivesFor the latest and previous versions of this user guide, refer to Intel Agilex 7 General-Purpose I/O User Guide: F-Series and I-Series . If an IP or software version is not listed, the user guide for the previous IP or software version applies.IP versions are the same as the Intel Quartus Prime Design Suite software versions up to v19.1. From Intel Quartus Prime Design Suite software version 19.2 or later , IP cores have a new IP versioning scheme.If an IP version is not listed, the user guide for the previous IP version applies.Intel Quartus PrimeVersionUser Guide21.2Intel Agilex General Purpose I/O and LVDS SERDES User Guide 21.1Intel Agilex General Purpose I/O and LVDS SERDES User Guide 20.3Intel Agilex General Purpose I/O and LVDS SERDES User Guide 20.2Intel Agilex General Purpose I/O and LVDS SERDES User Guide 20.1Intel Agilex General Purpose I/O and LVDS SERDES User Guide 19.4Intel Agilex General Purpose I/O and LVDS SERDES User Guide 19.3Intel Agilex General Purpose I/O and LVDS SERDES User GuideIntel Stratix 10 High-Speed LVDS I/O User Guide ArchivesFor the latest and previous versions of this user guide, refer to Intel Stratix 10 High-Speed LVDS I/O User Guide . If an IP or software version is not listed, the user guide for the previous IP or software version applies.IP versions are the same as the Intel Quartus Prime Design Suite software versions up to v19.1. From Intel Quartus Prime Design Suite software version 19.2 or later , IP cores have a new IP versioning scheme.LVDS SERDES Intel FPGA IP User Guide ArchivesFor the latest and previous versions of this user guide, refer to LVDS SERDES Intel FPGA IP User Guide: Intel Arria ® 10 and Intel Cyclone 10 GX Devices . If an IP or software version is not listed, the user guide for the previous IP or software version applies.IP versions are the same as the Intel Quartus Prime Design Suite software versions up to v19.1. From Intel Quartus Prime Design Suite software version 19.2 or later , IP cores have a new IP versioning scheme.LVDS SERDES Intel ® FPGA IP Release Notes 683575 | 2023.12.04Send FeedbackLVDS SERDES Intel ® FPGA IP Release Notes7。

SERDES的FPGA实现(07-100)

SERDES的FPGA实现(07-100)

SERDES的FPGA实现(07-100)时钟数据恢复时钟数据恢复(CDR)接收机必须恢复来自数据的嵌入式时钟。

更确切地说,时钟起源于数据信令的开关转换。

CDR 发送到串行化数据开始,然后,变换数据为8b/10b(或类似的编码方法)。

编码取8 位数据,并变换此数据为10 位符号。

8b/10b 编码在数据线上提供一个相等0 和1 传输。

这会减弱符号间干扰,并提供足够的数据沿,使接收机锁相在输入数据流。

发送器将增加系统时钟到发送位率,并以此位率发送8b/10b 数据到TX 差分对。

CDR 接收器的工作从在RX 差分位流上锁相开始。

然后,接收器在每个恢复时钟位校准数据。

下一步,数据在接收器的参考时钟校准字。

最后,数据是8b/10b 译码,准备用于系统。

在CDR 系统中,发送和接收系统,通常具有独立的系统时钟。

两个时钟都处在特定的变化要求内,这是关键。

此阈值是几百PPM(百万分率)量级。

CDR 接口的主要设计问题是抖动。

抖动是实际数据传输布局的相对理想状况。

总抖动(TJ)是由确定性抖动和随机抖动组成。

大部分抖动是确定性的,其分量包括符号间干扰、占空比失真和同期抖动(即来自开关电源的干扰)。

随机抖动往往是半导体热问题的副产物,而难断定。

发送参考时钟、发送PLL、串行器和高速输出缓冲器,都对发送抖动有贡献。

发送抖动通常标定为给定位周期或数据眼图的单位间隔(UI)百分比。

例如,抖动.2UI 表示抖动为位周期20%。

对于发送抖动,U1 数越小越好,这表明抖动较小。

表1 源同步和时钟数据恢复同样,CDR 接收器标定在给定的位率所允许的最大抖动值。

典型的误码。

FPGASerdes信号测试的方法和应用何先贵

FPGASerdes信号测试的方法和应用何先贵

FPGA Serdes信号测试的方法和应用何先贵发布时间:2021-09-30T06:14:31.753Z 来源:《中国科技人才》2021年第19期作者:何先贵[导读] 本文描述了采用FPGA高速接口眼图测量的方法和性能判定标准。

京信通信网络网络系统股份有限公司广东广州 510000摘要:本文描述了采用FPGA高速接口眼图测量的方法和性能判定标准。

适用于采用Intel(原Altera)或者Xilinx FPGA作为主芯片,出Serdes接口,可以是光口或者是JESD204B接口。

在本中分别对采用Serdes接口进行通信的设备,就其光口稳定性和性能参数进行扫描,通过眼图绘制的方法直观判断信号质量情况。

引言在实际项目中,经常遇到大于10G的Serdes高速信号,如何在研发过程中判断设计的板卡是否能满足高速信号的传输需求是一件比较棘手的问题,而同时高速测量设备也是相对比较紧缺的设备,本文旨在提出使用FPGA厂家的高速信号工具来评估我们新设计板卡的高速信号是否符合要求,以及如何调整最优参数以符合要求。

1测试目的本文主要是列出只采用FPGA自带眼图测量工具,实现以下几个目标:1)寻找一个新设计板卡的光口的最优PMA参数;2)评估一个新设计板卡的光口眼图是否符合要求;3)评估一套现有收发系统的光口眼图及误码率是否符合要求;4)寻找一套现有收发系统的光口的最优PMA最优参数。

本文是基于FPGA自带的模块进行测试,所以测试点图1中A点和D点,可以比较真实反映最终实际数据采样信号的情形。

图2 系统测试框图需准备如下物料:待测试板卡 1块;标准板卡1块;光模块若干;光纤线若干;电脑 2台;下载器2个。

3 测试方法和步骤FPGA自带的高速测量软件主要有两种功能:遍历PMA参数输出报告,眼图绘制。

我们主要通过遍历PMA参数功能来获取输入或者输出的最佳值,通过眼图绘制功能来确定在最佳参数情况下,信号是否符合要求。

本章首先列出以下几个基本测试步骤集合:1)高速光口发送的最佳参数的确定;2)高速光口接收的最佳参数的确定;然后基于以上的测试步骤集,组合出待测试板卡的高速光口接收测试验证步骤和发送测试验证步骤。

FPGA集成高速I/O,SERDES,结构化的ASIC模块

FPGA集成高速I/O,SERDES,结构化的ASIC模块

FPGA集成高速I/O,SERDES,结构化的ASIC模块PLANAHEAD8.1使FPGA实现高速度可通过简化FPGA的局部重配置功能.节省成本,层次化设计与分析解决方案PlanAhead8.1可以和寄灵思ISE结合使用,使Virtex一4;~FISpartan一3FPGA发挥高速度的性能优势PlanAhead简化j-综合与布局布线之『日J的步骤,nJI帮助设计曹更好地控制和了解如何以更少的几叶迭代实现口标Fmax.废工具可让设计者利用层次化设计方法减少布线拥塞,简化时钟和瓦连复杂度,行探寻不同的实现方案.软件局部重置助能允许在对FPGA器件的预定义部分进行重新配置的同时,器件的其余部分仍可继续T作,从而节省器件数量,尺寸,功耗和成本.新片反本简化了动态模块的创建,允许客户为每个设计实现创建多个版罔布局.PlanAhead8.1还提供了额外的设汁规圳检盎,苇叠检洲,模块到模块l/()的自动宏创建,以及一个新的布局布线向导Exp1oreAl1ead』JJ能可让设计者和设计团队管腭和复Jfj多种设计策略,充分利用计算资源.软件改进了原查看器,以寅现更高效和更直观的浏览导航,设"分析和调试,还能够对设计层次进行图形化表示.Xilinx电lL手:OO852—2424—5200hcLp://www.xilinx.COIIIFPGA集成高速,/o,SERDES,结构化的ASIC模块为各类瘟用提供高性能,灵活性和成本效益LatticeSC系列FPGA集成了支持3.4Gb/s数}属率的高信道教的SERDES模块,提供2G1)/s速座的PURESPEED并{I/O,PLLf.nDLL时,以500MHz频半_[作的FPGA辑,密集的RAM块以搜针对成水优化的嵌入式结构化ASIC模块的掩膜式阵列.LatticeSC具有32个SERDES信道,每个信道的数据率为600Mb/s~3.4Gb/s,往3.I25Gb/S的速丰.1的觚信道功耗为lOOIilW,SERDES内发送预加重搜接II={(均衡功能.总发送抖动3.2Gb/si~率下为0.29UI,总接收抖动容限是0.8UIAC/DC耦合和l速模式等可编稃特性为州提供丁充足的灵活忡.FlexiPCS}~.块nJ'过配置来支持PCI Express,1.02或者2.04Gb/sFibre100今日电子.2006年3月Ctla[1lml,千兆位以太网,兆位以太,SerialRapidlO及SONET,拥有嵌入式的编解码物I罩层功能,时钟容限朴偿,CRC发牛/校黔肢多佶道对卉功能.LatticeSCFPGA中嵌人了12个称为MACO的结构化ASIC模块,每个MACO模块约有5力个ASIC¨川来实现需要高性能,小硅片而币}{和低功耗的IP核,还提供充足的至I/O00的布线连结,RAM块及可编程逻辑块. PURESPEED1/O支持许多差分和单端I/O标准,也括LVTTI,LVCMOS,SSTI,IISq,L,GTL+,LVDS,LVPECL和Hyperq,ranspo['t.每个I/O引脚合有'个输人延时(INDEI)刈哜卡;{块,法横块有『日J隔为40ps的144个抽头.LatticeSC FPGA还提供用于SDR,DDR1和DDR2接几的用的变速箱逻辑,可以不必使用通用的PLL和DLL资源.低功耗的内部终端电IN(ODT)~'g够减小残存信号的K度,终端电m能够动态切换以支持DDR21竿储器等标准. LaLticeSC提供1~7.8Mb的能够以500MIIz频率工作的嵌入式R人M块(EBR),每个18kb的sysMEMEBR块可以吱单口,双F-I,伪双LJ或昔FIFO仃储嚣.支持1.2V及1V的电源电压,采用1V电源时--J将助牦降低50%,性能仪仪降低了15%. LatticeSemiconductor电话:021-5298-9999 http//lll.fticesemi.COIII。

DES加密算法FPGA设计及实现

DES加密算法FPGA设计及实现

摘要随着信息技术的发展,计算机应用渗透到社会生活的各个领域,特别是军事的应用,使人们对信息的依赖程度越来越高,因而信息安全技术显得格外重要。

加密作为信息安全中一个最为有力的武器,正在发挥着重要的作用。

DES(Data Encryption standard)加密算法在成为加密标准到今天,经历了长期的考验。

实践证明DES算法的安全性是能够满足大部分的安全要求的。

随着微电子技术的发展,可编程逻辑器件由早期的只读存贮器(ROM)发展到今天十分流行并广泛应用的现场可编程门阵列FPGA。

FPAG具有体系结构和逻辑单元灵活,集成度高以及实用范围宽等特点,可以实现较大规模的电路,编程也很灵活,而且设计开发周期短,设计制造成本低,开发工具先进,标准产品无需测试,质量稳定以及可实时在线检验等优点,因此被广泛应用于产品的原型设计和产品生产。

所以,应用FPGA来设计和实现DES加密算法具有十分重要的现实意义和广阔的应用前景。

本文设计实现了一种基于FPGA的DES加密系统。

概括起来,本文主要完成了以下几方面的主要工作:1:介绍了研究课题“DES加密算法的FPGA设计及实现”的背景知识。

2:完成了DES加密系统的整体设计。

整个系统包括DES顶层模块,密钥生成模块和迭代加密模块。

此系统的功能是输入明文和密钥后,输出DES加密后的密文。

3:以Modelsim SE 5.6为开发软件,用VHDL硬件描述语言设计了DES加密芯片,并且实现了三大模块的具体功能及整体连接。

4:利用Modelsim SE 5.6进行功能仿真测试并验证了整个系统的功能。

【关键词】:DES,FPGA,VHDL,Modelsim,数据加密,模块ABSTRACTWith the development of information technology ,the application of computer has come into social any field. Especially in military field, people rely on information more seriously. So information security becomes very important. Encryption as a sort of power weapon is widely used in the field of information security.DES(Data Encryption Standard) encryption arithmetic has stood the long test from when it became the encryption standard to now. It is proved by much practice that the security of the DES encryption can satisfy the voluminous need of security.With the development of micro-electronic technology, the programmable logic device develop from earlier ROM to very popular and extensive applied FPGA(Field Programmable Gate Array)today .The FPGA has the characteristic of flexible system structure and logic unit, high integration and wide application. Especially, the FPGA can carry out the more large-scale circuit, programmed flexibly. When the engineers use the FPGA to design and develop the product, the time is short, the cost is low, the tool is advanced, the standard product don’t need to test, the quality is steady and the product can be inspected on line. So, the FGPA is widely used to design and make product.Therefore, using the FPGA to design and implement the DES encryption arithmetic is very important and it will be widely used in much field. The main contributions of the paper are as follow:1: Introduced the research topic "DES encryption algorithm of FPGA Design and Implementation of" background knowledge.2: The completion of the DES encryption of the overall design of the system. DES top of the entire system, including modules, and iterative key generation module encryption module.3: Modelsim SE 5.6 software for the development, use of VHDL hardware description language design and the realization of the three specific functional modules and the overall connection.4: Use Modelsim SE 5.6 for functional simulation to test and verify the entire system.【Keyword】:DES,FPGA,VHDL,Modelsim,Data Encryption,Module信息技术的广泛发展使得计算机应用渗透到社会生活的各个领域,人们对信息的依赖程度越来越高。

揭开Serdes神秘面纱

揭开Serdes神秘面纱

揭开Serdes神秘面纱背景随着大数据的兴起以及信息技术的快速发展, 数据传输对总线带宽的要求越来越高, 并行传输技术的发展受到了时序同步困难、信号偏移严重, 抗干扰能力弱以及设计复杂度高等一系列问题的阻碍。

与并行传输技术相比, 串行传输技术的引脚数量少、扩展能力强、采用点对点的连接方式, 而且能提供比并行传输更高带宽, 因此现已广泛用于嵌入式高速传输领域。

Q1Serdes是什么?SerDes是Serializer/Deserializer的缩写, 即串行器和解串器, 顾名思义是一种将并行数据转换成串行数据发送, 将接收的串行数据转换成并行数据的“物理器件”。

换言之, SerDes是一种需要数模硬件实现的, 用于高速传输的“高级”串并转换器件。

Q2Serdes基本架构和组成是什么?常见的SerDes架构是一种基于8b/10b编码并且时钟嵌入进数据流中的架构, 主要由物理介质相关子层(PMD)、物理媒介适配层(Physical Media Attachment, PMA)和物理编码子层(physical coder sublayer, PCS)所组成。

PCS层负责数据流的编码/解码, 是标准的可综合CMOS数字逻辑, 可以硬逻辑实现, 也可以使用FPGA软逻辑实现。

PMA层是数模混合CML/CMOS电路, 负责负责串化/解串化, 是理解SerDes区别于并行接口的关键。

PMD是负责串行信号传输的电气块。

Q3Serdes有哪些应用?Serdes主要包括高速串并转换电路、时钟数据恢复电路、数据编解码电路、时钟纠正和通道绑定等电路, 为各种高速串行数据传输协议提供了物理层基础。

由于Serdes具备高带宽、引脚数目少、支持多种主流的高速通信标准, 比如Serial RapidIO , FiberChannel(FC), PCI-Express (PCIE), Advanced Switching Interface, Serial ATA(SATA), 1-Gb Ethernet, 10-Gb Ethernet(XAUI), Infiniband 1X, 4X, 12X等, 因此广泛应用于高带宽、低成本的高速传输领域。

fpga serdes原理

fpga serdes原理

fpga serdes原理FPGA SERDES is a critical component in modern electronic systems that enables high-speed communication between different parts of the system. FPGA SERDES stands for Field Programmable Gate Array Serializer/Deserializer, and it provides a mechanism for transmitting data at high speeds using serial communication.FPGA SERDES works by taking parallel data and converting it into a serial stream for transmission over a high-speed interface. This serial data is then received and converted back into parallel data at the receiving end. This enables the data to be transmitted over longer distances and at higher speeds than would be possible with traditional parallel interfaces.FPGA SERDES technology is essential for a wide range of applications, including telecommunications, data center networking, high-speed computing, and many others. With the increasing demand for higher data transfer rates, the role of FPGA SERDES in modern electronic systems continues to be crucial.FPGA SERDES operates at extremely high frequencies and must be carefully designed to minimize signal degradation and ensure reliable communication. This involves complex signal processing techniques, equalization, clock recovery, and other methods to maintain signal integrity and minimize errors.FPGA SERDES technology is constantly evolving to meet the demands of higher data speeds and greater bandwidth requirements. This requires ongoing research and development to improve signal processing algorithms, reduce power consumption, and enhance overall performance.FPGA SERDES represents a significant advancement in electronic system design, enabling high-speed communication over long distances with minimal signal degradation. It plays a crucial role in enabling the next generation of high-performance electronic systems and is a fundamental building block for a wide range of applications.。

基于FPGA芯片的SERDES接口电路设计

基于FPGA芯片的SERDES接口电路设计

基于FPGA芯片的SERDES接口电路设计串行接口常用于芯片至芯片和电路板至电路板之间的数据传输。

随着系统带宽不断增加至多吉比特范围,并行接口已经被高速串行链接,或SERDES (串化器/ 解串器)所取代。

起初,SERDES 是独立的ASSP 或ASIC 器件。

在过去几年中已经看到有内置SERDES 的FPGA 器件系列,但多见于高端FPGA芯片中,而且价格昂贵。

本方案是以CME最新的低功耗系列FPGA的HR03为平台,实现8/10b的SerDes接口,包括SERDES 收发单元,通过完全数字化的方法实现SERDES的CDR(Clock Data Recovery,时钟数据恢复),完成100~200Mhz的板间SERDES单通道通信,该SERDES接口方案具有成本低、灵活性高、研发周期短等特点。

1 硬件接口:硬件的接口如上图所示,主要包括发送与接收模块。

发送模块包括8b/10b编码器,并串转换器,锁相环(PLL)频率合成器和发送器,接收模块包括8b/10b解码器,Comma 检测器,串并转换器,时钟数据恢复器(CDR)和接收器。

8b/10b编码器用于将从上层协议芯片发送过来的字节信号映射成直流平衡的10 位8b/10b 编码,并串转换用于将10 位编码结果串行化,并串转换所需的高速、低抖动时钟由锁相环提供,发送器用于将CMOS电平的高速串行码流转换成抗噪声能力较强的差分信号,经背板连接或光纤信道发送到接收机。

在接收端,接收器将接收到的低摆幅差分信号还原为CMOS 电平的串行信号,CDR 从串行信号中抽取时钟信息,完成对串行数据的最佳采样,串并转换利用CDR 恢复的时钟,将串行信号转换成10 位的并行数据,Comma 检测器检测特殊的Comma 字符,调整字边界,字边界正确的并行数据经过8b/10b 解码,还原为字节信号,传送到上层协议芯片,完成整个信息传输过程。

实际的设计中,CDR部分是由纯逻辑电路完成的,为设计的核心的部分,下面将介绍数字CDR在HR03的实现方案。

serdes项目实例

serdes项目实例

serdes项目实例SerDes(Serializer/Deserializer)是一种高速串行接口技术,用于将数据从并行格式转换为串行格式,或者将数据从串行格式转换为并行格式。

SerDes广泛应用于高速通信、数据中心、云计算等领域。

下面是一个简单的SerDes项目实例,使用FPGA实现一个4Gbps的SerDes接口。

1. 项目目标本项目旨在设计并实现一个基于FPGA的4Gbps SerDes接口,用于高速数据传输和通信。

SerDes接口需要能够将FPGA内部的并行数据转换为高速串行数据,并能够在远距离传输后恢复原始数据。

2. 项目设计本项目采用Xilinx Virtex-7系列FPGA,使用其内建的SERDES模块实现SerDes接口。

具体设计如下:并行数据输入:SerDes接口接收FPGA内部的32位并行数据,数据速率为1000MHz。

串行数据输出:SerDes接口将接收到的并行数据转换为高速串行数据,数据速率为4Gbps,通过一对差分线传输。

线路编码:采用8B/10B编码方案,以提高信号的眼图质量和降低误码率。

均衡与去加重:在发送端,使用预加重和去加重技术,以提高信号的传输质量和降低误码率。

时钟管理:SerDes接口内部采用时钟管理单元(CMT),以确保时钟的准确性和稳定性。

3. 项目实现在FPGA上实现SerDes接口需要编写相应的硬件描述语言(HDL)代码,如VHDL或Verilog。

具体实现步骤如下:设计并行数据接收模块,将FPGA内部的并行数据接收并缓存到FIFO中。

设计串行数据发送模块,将FIFO中的数据转换为高速串行数据并发送出去。

设计时钟管理模块,为SerDes接口提供稳定的时钟信号。

设计均衡与去加重模块,在发送端对数据进行预加重和去加重处理,在接收端进行均衡处理。

综合和布局布线:使用Xilinx Vivado工具对设计进行综合和布局布线,生成可下载到FPGA的bit文件。

SERDES关键技术总结

SERDES关键技术总结

一、SERDES介绍随着大数据的兴起以及信息技术的快速发展,数据传输对总线带宽的要求越来越高,并行传输技术的发展受到了时序同步困难、信号偏移严重,抗干扰能力弱以及设计复杂度高等一系列问题的阻碍。

与并行传输技术相比,串行传输技术的引脚数量少、扩展能力强、采用点对点的连接方式,而且能提供比并行传输更高带宽,因此现已广泛用于嵌入式高速传输领域。

许多FPGA已经内置了一个或多个MGT(Multi-Gigabit Transceiver)收发器,也叫做SERDES(Multi-Gigabit Serializer/Deserializer)。

MGT收发器内部包括高速串并转换电路、时钟数据恢复电路、数据编解码电路、时钟纠正和通道绑定电路,为各种高速串行数据传输协议提供了物理层基础。

MGT收发器的TX发送端和RX接收端功能独立,而且均由物理媒介适配层(Physical Media Attachment,PMA)和物理编码子层(Physical Coding Sublayer,PCS)两个子层组成,结构如下图所示PMA子层内部集成了高速串并转换电路,预加重电路、接收均衡电路、时钟发生电路和时钟恢复电路。

串并转换电路的作用是把FPGA内部的并行数据转化为MGT接口的串行数据。

预加重电路是对物理连接系统中的高频部分进行补偿,在发送端增加一个高通滤波器来放大信号中的高频分量进而提高信号质量,但预加重电路会导致功耗和电磁兼容(Electro Magnetic Compatibility,EMC)增加,所以如非必要一般情况下都把它屏蔽掉。

接收均衡电路主要用来补偿由频率不同引起的阻抗差异。

时钟发生电路与时钟恢复电路在发送端把时钟和数据绑定后发送,在接收端再从接收到的数据流中恢复出时钟,这样可以有效地避免在高速串行传输的条件下时钟与数据分开传输带来的时钟抖动问题。

PCS子层内部集成了8B/10B编/解码电路、弹性缓冲电路、通道绑定电路和时钟修正电路。

SERDES FPGA设计手册

SERDES FPGA设计手册

编号:版本:V0.2页数:共页密级:SERDES FPGA设计手册更改记录目录SERDES FPGA设计手册 (1)目录 (2)1目的 (5)2范围 (5)3术语 (5)4SERDES基础知识 (5)5SERDES应用指南 (5)5.1ISERDES (5)5.1.1ISERDES基元 (5)5.1.2ISERDES基元的时钟解决方案 (9)5.2OSERDES (10)5.2.1OSERDES组成功能模块 (10)5.2.2OSERDES基元 (12)5.2.3OSERDES基元的时钟解决方案 (13)6SERDES应用指南 (14)6.1ISERDES设计 (14)6.1.1单个ISERDES单元设计(SDR) (14)6.1.1.1ISERDES配置参数 (14)6.1.1.2设计思想 (17)6.1.1.3仿真结果 (17)6.1.1.4ISERDES数据时序 (18)6.1.1.4.1ISERDES输入数据时序 (18)6.1.1.4.1ISERDES输出数据时序 (19)6.1.2单个ISERDES单元设计(DDR) (20)6.1.2.1ISERDES配置参数 (20)6.1.2.2设计思想 (20)6.1.2.3仿真结果 (20)6.1.3ISERDES宽度扩展 (20)6.1.3.1设计实例 (21)6.1.3.2仿真结果 (24)6.2OSERDES设计 (24)6.2.1单个OSERDES单元设计(SDR) (24)6.2.1.1OSERDES配置参数 (24)6.2.1.2设计思想 (26)6.2.1.3仿真结果 (27)6.2.1.1OSERDES基元SDR模式时序 (27)6.2.2单个OSERDES单元设计(DDR) (27)6.2.2.1OSERDES配置参数 (28)6.2.2.2设计思想 (28)6.2.2.3仿真结果 (28)6.2.2.1OSERDES基元SDR模式时序 (29)6.2.3OSERDES宽度扩展 (29)6.2.3.1设计实例 (29)6.2.3.1仿真结果 (31)1目的为了学习xilinx serdes原语的使用,以及交流学习经验,在工程项目中方便的应用SERDES进行设计,故编写此文档。

超详细:SerDes知识详解

超详细:SerDes知识详解

超详细:SerDes知识详解SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。

它是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。

即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。

这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,提升信号的传输速度,从而大大降低通信成本。

一、SERDES的作用1.1并行总线接口在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。

随着接口频率的提高,在系统同步接口方式中,有几个因素限制了有效数据窗口宽度的继续增加。

a)、时钟到达两个芯片的传播延时不相等(clock skew)b)、并行数据各个bit的传播延时不相等(data skew)c)、时钟的传播延时和数据的传播延时不一致(skew betweendata and clock)虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。

这又进一步恶化了数据窗口。

源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。

通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,也就是让它和数据信号经过相同的路径,保持相同的延时。

这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。

我们来做一些合理的典型假设,假设一个32bit数据的并行总线,a)、发送端的数据skew = 50 ps —很高的要求b)、pcb走线引入的skew = 50ps —很高的要求c)、时钟的周期抖动jitter = +/-50 ps —很高的要求d)、接收端触发器采样窗口 = 250 ps —Xilinx V7高端器件的IO触发器可以大致估计出并行接口的最高时钟 = 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

芯片功能的增加和数据吞吐量的要求,促使芯片行业从较低数据率的并行连接,转向较高速度的串行连接。

SERDES(Serializer-Dese rializer,)是经高速差分对,而不是经较低速度的并行总线传输串行化的数据。

一个实例是用单个PCI-Express通道,替代传统的32位、64MHz PCI总线(可达到2.112Gb/s),仅用4条线(运行在2.5GHz),可达到4Gb/s 总数据率。

简言之,SERDES协议允许用较少的引脚数传输较高的数据率。

典型的SERDES的FPGA实现
图1给出在复杂的FPGA实现中各种可能的SERDES接口,包括数据通路(芯片到芯片,SPI 4.2,PCI-Express,SGM11)、背板(GbE/GSM11,PCI Express/AS,专用)接口、存储器接口(DDR1/ⅡSDRAM,QDRⅡSRAM)。

可由FPGA实现的芯片包括存储器控制器、帧调节器/MAC、DSP协处理器、控制板接口和背板驱动器。

SERDES接口有两类:源同步(SS)接口和时钟数据恢复(CDR)接口。

这两类接口的基本差别是如何实现同步。

源同步接口有一个伴随传输数据的分离时钟信号。

CDR没有分离的时钟信号,代之以嵌入在数据开关转换中的时钟。

这就是说,CDR接收机将锁相数据信号本身来得到时钟。

表1给出这两类接口的基本差别。

CDR接口通常运行在较高的速度和较长的距离,因而会带来较大的设计问题。

基于此原因,本文主要集中在CDR方面。

图1 典型的SERDES应用
时钟数据恢复
时钟数据恢复(CDR)接收机必须恢复来自数据的嵌入式时钟。

更确切地说,时钟起源于数据信令的开关转换。

CDR发送到串行化数据开始,然后,变换数据为8b/10b(或类似的编码方法)。

编码取8位数据,并变换此数据为10位符号。

8b/10b编码在
数据线上提供一个相等“0”和“1”传输。

这会减弱符号间干扰,并提供足够的数据沿,使接收机锁相在输入数据流。

发送器将增加系统时钟到发送位率,并以此位率发送8b/10b数据到TX差分对。

CDR接收器的工作从在RX差分位流上锁相开始。

然后,接收器在每个恢复时钟位校准数据。

下一步,数据在接收器的参考时钟校准字。

最后,数据是8b/10b译码,准备用于系统。

在CDR系统中,发送和接收系统,通常具有独立的系统时钟。

两个时钟都处在特定的变化要求内,这是关键。

此阈值是几百PPM(百万分率)量级。

CDR接口的主要设计问题是抖动。

抖动是实际数据传输布局的相对理想状况。

总抖动(TJ)是由确定性抖动和随机抖动组成。

大部分抖动是确定性的,其分量包括符号间干扰、占空比失真和同期抖动(即来自开关电源的干扰)。

随机抖动往往是半导体热问题的副产物,而难断定。

发送参考时钟、发送PLL、串行器和高速输出缓冲器,都对发送抖动有贡献。

发送抖动通常标定为给定位周期或数据眼图的单位间隔(UI)百分比。

例如,抖动.2UI表示抖动为位周期20%。

对于发送抖动,U1数越小越好,这表明抖动较小。

表1 源同步和时钟数据恢复
同样,CDR接收器标定在给定的位率所允许的最大抖动值。

典型的误码率标准是1e-12(每1e 12位一个误差)。

接收抖动也标定为U1。

U1越大,表明接收机可以允许更大抖动。

典型接收机指标是.8U1,这意味着位周期的80%可以是噪声,而接收机仍然能够可靠地接收数据。

抖动通常用统计bell分布量化表示。

SERDES测试和眼图
由于抖动是SERDES系统中的主要解决的问题,所以,抖动也是测试测量的一个焦点。

测量抖动是用高性能示波器连接SERDES 信号,观测“数据眼图”。

对于给定差分对r的眼图是很多状态过渡的重叠。

取样窗口足够的宽,能保证包含图中的两个交叉点。

这种合成图看起来象一个眼睛,此图提供信号质量和抖动的直观目测方法。

总之,眼睛打开越宽,信号越好。

图2示出在示波器上看到的典型眼图。

在此眼图中,V量测对1.2V总电压摆幅(从逻辑O到逻辑1)的眼打开的高度。

有3个宽度(或时间)测量:U1量测全位周期,H量测共模电压下全打开,T量测最小和最大解扣电压间的宽度。

H、T和V值越大,表明眼睛越宽、因而,信号越好,抖动越小。

图2 数据眼图
抖动测量装置
为了检测发送抖动,由误码率测试器(GERT)产生测试图形,并送到评估板的SERDES接收端口。

同样,时钟产生器连接到评估板的SERDES时钟。

把FPGA配置为内部环回被测信道。

这样,被接收的测试图形在TX引脚发送。

示波器连接到TX SERDES 连接器,这样可以分析发送抖动眼图。

所有评估板SERDES连接都用50? SMA连接。

图3示出这种配置。

用FPGA SERDES接收端口处的施感抖动和监控环回SERDES输出的误码,来测量接收抖动容限。

连接抖动产生器到BERT 图形产生器(见图3)。

BERT产生器送一个伪随时机图形序列到评估板的SERDES SMA输入。

这种配置可使工程师在控制状态下,引入抖动到SERDES RX端口。

配置FPGA用于环回,SERDES TX端口连接BERT上的误码检测器端口,工程师引入抖动,并观察FPGA所产生的误码率(BER)。

当BER超出技术要求时,就可知道已超出抖动容限阈值。

对于FPGA,此数值就等于或大于特定的接收抖动容限。

图3 抖动测试设备配置
背板性能测量
通过一个背板配置驱动FPGA的TX信号,可以测量FPGA的SERDES链路发送特性,并可以分析背板输出的眼图。

此装置首先用BERT图形产生器,把PRBS位流馈入FPGA评估板的SMA RX端口。

用环回配置的TPGA,PRBS将呈现在评估板TX 端口,并驱动馈入背板的同轴缆线。

系统要求决定测试参量,如PRBS图形选择,背板和FPGA评估板线长、用轴缆线长度、预矫和均衡设置、工作温度和Vcc。

图4示出用Lattice SC FPGA,此测试装置采样的9个眼图。

每个眼图下面的数字是测量的眼高(由图2测量V)。

注意,增加预矫可改善每个位率下的眼图。

预矫是发生在缆线和背板中信号衰减的SERDES发送器补偿。

这种Lattice SC FPGA,所需的眼高度是85mV,所以,16%预矫,在3.8Gb/s取晴图仅仅是取样,这不能满足要求。

图4 在不同SERDES数据率增加预加重
结语
本文给出了SERDES接口的FPGA实现方法在选择FPGA时,应该考虑可能的信道数、信道的配置灵活性、接口速度、SERDES IP、传输性能指标和电气性能要求。

FPGA产品和SERDES的速度和市场占有率正在增大。

了解它们的能力和所遇到的问题,设计人员可以增加其产品的可靠性功能,以及加快上市时间。

相关文档
最新文档