数字逻辑电路课程设计数字钟
数电课程设计--数字钟的设计与制作
综述………………………………………………………………………1
1、方案设计与选择……………………………………………………1
2、原理设计和功能描述………………………………………………2
2.1数字计时器的设计思想……………………………………………2
2.3单元电路的设计……………………………………………………3
(二)具体要求:
1.画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。
2.设计各个功能模块的电路图,加上原理说明。
3.选择合适的元器件,设计、选择合适的输入信号和输出方式,在确保电路正确性同时,输入信号和输出方式要便于电路的测试和故障排除。在线路板上接线验证、调试各个功能模块的电路。
2.3.1振荡器电路………………………………………………………3
2.3.2时间计数器电路…………………………………………………3
2.3.3数码管……………………………………………………………4
2.3.4校时控制电路……………………………………………………4
2.3.5数字电子钟原理效果图…………………………………………5
时分秒计数器的选择。时分秒计数器的选择同样有多种,74LS160和74LS161, 74LS190和74LS191等等都可以,考虑到其简单易用和作为课本上重点内容在此我们选择的是
2.导线/电阻/电容/石英晶体等
四.设计流程:
1.布置任务及查资料。
2.初步确定设计方案并进行必要计算,画出总体设计框图。
3.标出各个模块之间互相联系,时钟信号传输路径等,画出总体原理图,芯片连接总图。
4.数字系统的制作与调试后,功能验证。
数字逻辑电路设计课程设计之数字电子钟
课程名称:数字电路逻辑设计课程设计设计项目:数字电子钟学生姓名:同组人:高爽一.设计目的1.掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;2.进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;3.提高电路布局﹑布线及检查和排除故障的能力;4.培养书写综合实验报告的能力。
二 . 设计要求1.设计一个具有时、分、秒显示的电子钟(23小时59分59秒);2.应该具有手动校时校分的功能;3.应该具有整点报时功能:从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次;4.使用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试;5.画出框图和逻辑电路图,写出设计、实验总结报告。
三 . 设计原理1.数字电子钟基本原理数字电子钟的逻辑框图如下图所示。
它由555集成芯片构成的振荡电路、分频器、计数器、显示器和校时电路组成。
555集成芯片构成的振荡电路产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。
2.数字电子钟单元电路设计时钟脉冲已经由实验箱提供,实验箱提供的是秒脉冲;显示电路已经由实验箱提供。
(1)计数器电路A.秒个位计数器,分个位计数器,时个位计数器均是十进制计数器;B.秒十位计数器,分十位计数器均是六进制计数器;C.时十位计数器为二进制计数器因此,选择74LS90可以实现二-五-十进制异步计数器芯片实现上述计数功能。
时位计数器分位计数器秒位计数器(2)手动校时电路当数字钟走时出现误差时,需要校正时间。
校时电路实现对“时”“分”“秒”的校准。
在电路中设有正常计时和校对位置。
本实验实现“时”“分”的校对。
对校时的要求是:在小时校正时不影响分和秒的正常计数;在分钟校正时不影响秒和小时的正常计数。
手动校时电路图(3)整点报时电路整点报时功能:即从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次。
数字电路课程设计电子数字钟+闹铃
数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。
2、具有手动校时、校分、校秒的功能。
3、有定时和闹钟功能,能够在设定的时间发出闹铃声。
4、能进行整点报时。
从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。
、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。
2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。
3、有三个按钮分别调时、分、秒的时间。
4、有一个按钮用作开启/关闭闹铃。
5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。
二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。
各按键输出为脉冲信号。
、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。
该模块能将当前计数值实时按BCD码的格式输出。
将该输出接到两位LED数码后能时时显示秒的状态。
通过alarm_clk可以选择设置对象为时间还是定时值。
在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。
数电课设-数字钟
数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数电课程设计数字钟
数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。
技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。
课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。
学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。
教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。
通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。
二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。
数字电路课程设计--数字时钟
《数字时钟》技术报告概要数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒。
一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。
由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。
本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。
通过采用各种集成数字芯片搭建电路来实现相应的功能。
具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。
该电路具有计时和校时的功能。
在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。
实验证明该设计电路基本上能够符合设计要求!一、系统结构。
(1)功能。
此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。
(2)系统框图。
系统方框图1(3)系统组成。
1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。
2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。
3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。
4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。
5.显示模块:由7段数码管来起到显示作用,通过接受CD4511的信号。
本次选用的是共阴型的CD4511。
二、各部分电路原理。
1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。
最终如图3接口就输出矩形波,而形成的秒脉冲。
数字逻辑电路课程设计__数字钟1
数字逻辑课程设计姓名:学号:班级:计102指导老师:2012-05-20数字钟简要说明数字钟是由振荡器、分频器、计秒电路、计分电路、计时电路组成。
计时有24h和12h两种。
当接通电源或数字钟走时出现误差,都需要对数字钟作手动时分秒时间校正。
一。
任务与要求设计任务:设计一个具有整点报时功能的数字钟要求:1、设计一个有“时”、“分”、“秒”(11小时59分59秒)显示且有校时功能的数字钟。
2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。
3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。
4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。
5、画出框图和逻辑电路图。
功能:1、计时功能:要求准确计时,以数字形式显示时、分、秒的时间。
小时的计时要求为“12翻1”。
2、校时功能:当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。
校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。
为使电路简单,这里只进行分和小时的校时。
对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。
校时方式有“快校时”和“慢校时”两种。
“快校时”是通过开关控制,使计数器对1Hz 的校时脉冲计数 。
“慢校时”是用手动产生单脉冲作校时脉冲。
3、仿广播电台整点报时:每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。
二、设计方案 电路组成框图:图1 数字钟电路组成框图数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。
其主要功能为计时、校时和报时。
利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。
秒、分、时之间采用同步级联的方式。
开关S1和S2分别是控制分和时的校时。
数字逻辑电路课设—简易数字钟设计
数字逻辑电路课程设计报告多功能数组钟设计一、设计要求:通过Maxplus II使用VHDL语言编写设计一款多功能数字钟,具体功能如下:1、时钟时,分,秒分别显示且能正确计数。
2、整点报时,时钟在将要到达整点的最后十秒,给予蜂鸣提示。
3、校时,可以通过相应开关按钮对时钟的时分秒进行调整。
4、闹钟,用户可以预设闹铃时刻,当时间到达该时刻时,发出蜂鸣提示。
二、总体设计:1、设计框图:2、外部输入输出要求:外部输入要求:输入信号有1024Hz时钟信号、低电平有效的秒清零信号CLR、低电平有效的调分信号SETmin、低电平有效的调时信号SEThour;外部输出要求:整点报时信号SOUND(59分51/3/5/7秒时未500Hz低频声,59分59秒时为1kHz高频声)、时十位显示信号h1(a,b,c,d,e,f,g)、时个位显示信号h0(a ,b,c,d,e,f,g)、分十位显示信号m1及分个位m0、秒十位s1及秒个位s0;数码管显示位选信号SEL0/1/2等三个信号。
3、各模块功能:1)FREQ分频模块:整点报时用的1024Hz与512Hz的脉冲信号,这里的输入信号是1024Hz信号,所以只要一个二分频即可;时间基准采用1Hz输入信号直接提供(当然也可以分频取得,这里先用的是分频取得的信号,后考虑到精度问题而采用硬件频率信号。
2)秒计数模块SECOND:60进制,带有进位和清零功能的,输入为1Hz脉冲和低电平有效的清零信号CLR,输出秒个位、时位及进位信号CO。
3)分计数模块MINUTE60进制,带有进位和置数功能的,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位及进位信号CO。
4)时计数模块HOUR:24进制,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位。
5)扫描模块SELTIME:输入为秒(含个/十位)、分、时、扫描时钟CLK1K,输出为D和显示控制信号SEL。
6)整点报时功能模块ALERT:输入为分/秒信号,输出为高频声控Q1K和Q500。
数字逻辑课程设计报告 电子钟
数字逻辑课程设计报告电子钟数字逻辑课程设计报告-电子钟数字逻辑电路―课程设计报告数字逻辑课程设计报告-----多功能数字钟的同时实现一.设计目的:1.学会应用领域数字系统设计方法展开电路设计。
2.进一步提高maxplusii软件开发应用领域能力。
3.培育学生综合实验能力。
二.实验仪器与器材:1、开发软件maxplusii软件2、微机3、isp实验板se_3型isp数字实验开发系统4、打印机三.实验任务及建议设计一个多功能数字钟:1.能进行正常的时、分、秒计时功能。
1)用m6m5展开24十进制小时的表明;2)用m4m3展开60十进制分的表明;3)用m2m1进行60进制秒的显示。
2.利用按键实现“校时”、“校分”和“秒清单”功能。
1)按下sa键时,计时器快速递减,按24小时循环,并且计满23时返回00。
2)按下sb键时,计时器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。
3)按下sc,秒清零。
建议按下“sa”或“sb”均不能产生数字LBP(“sa”、“sb”按键就是存有晃动的,必须对“sa”“sb”展开窭晃动处置。
)3.能够利用实验板上的扬声器并作整点报时功能。
1)当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500hz。
2)抵达59分后60秒时为最后一声整点报时。
整点报时的频率为1kz。
4.能够惹出时1)闹时的最小时间间隙为10分钟。
2)惹出时长度为1分钟。
3)惹出时声响就是单频的。
5.用maxplusii软件设计符合以上功能要求的多功能数字钟,并用层次化设计方法设计该电路。
1)通过语言同时实现各模块的功能,然后再图画出高电路的顶层图。
2)消抖电路可以通过设计一个d触发器来实现,sa、sb、sc等为包含抖动的诸如信号,而电路的输出则是一个边沿整齐的输出信号。
3)其他的计时功能、表明功能、多路挑选功能、分频功能、报时功能和惹出时等功能模块都用vhdl语言实现。
数字电路课程设计数字时钟报告
数字电路课程设计数字时钟报告数字电路课程设计数字时钟介绍•数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。
•数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。
设计目标•开发一个功能完备、性能稳定的数字时钟电路。
•通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。
设计步骤1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时钟模式、闹钟功能等。
2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟发生器、计数器、显示器等。
3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路原理图。
4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟的各个功能模块。
5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。
6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时钟的稳定性和可靠性。
7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟的设计和实现。
设计要点•确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。
•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。
•考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。
•在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。
结论•数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。
•通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。
•数字时钟设计也是一个不断优化和改进的过程,通过反复测试和修改,可以得到一个性能稳定、功能完备的数字时钟电路。
数电数字钟课程设计
数电数字钟课程设计一、课程目标知识目标:1. 理解数字时钟的基本原理,掌握数字电路基础知识;2. 学会使用集成门电路设计简单的数字电路,并能正确读取数字时钟电路图;3. 掌握数字时钟各模块(如秒脉冲发生器、计数器、译码器等)的功能及相互关系。
技能目标:1. 能够运用所学知识,设计并搭建一个简易的数电数字钟;2. 培养学生动手实践能力,学会使用相关仪器、工具进行电路连接和调试;3. 提高学生的问题分析和解决能力,能够针对数字时钟故障进行排查和修复。
情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养创新意识和团队合作精神;2. 培养学生严谨、细心的学习态度,养成良好的学习习惯;3. 增强学生对科技发展的关注,认识数字电路在实际应用中的价值。
分析课程性质、学生特点和教学要求,本课程目标旨在使学生在掌握数字电路基础知识的基础上,通过实际操作和设计,提高实践能力和创新意识,培养团队合作精神。
课程目标具体、可衡量,便于教师进行教学设计和评估。
在此基础上,将目标分解为具体的学习成果,为后续教学提供明确的方向。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、触发器、计数器等基本概念和工作原理。
2. 数字时钟原理:介绍数字时钟的构成、工作原理及各模块功能,如秒脉冲发生器、分频器、计数器、译码器等。
3. 教学案例:选用教材中相关的数字时钟案例,分析其电路原理和设计方法。
- 章节关联:第三章“组合逻辑电路”和第四章“时序逻辑电路”- 列举内容:3.2节“集成门电路”、4.3节“触发器”和4.4节“计数器”4. 实践操作:指导学生使用面包板、集成块等工具,搭建一个简易的数电数字钟。
- 进度安排:实践操作分为两个阶段,第一阶段为电路设计和搭建,第二阶段为电路调试和优化。
5. 故障排查与修复:教授学生针对数字时钟常见故障进行分析和解决的方法。
6. 课后拓展:引导学生关注数字电路在实际应用中的新技术和新发展。
教学内容根据课程目标进行选择和组织,确保科学性和系统性。
数字逻辑课程设计数字电子钟完整版
数字逻辑课程设计数字电子钟HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】课程设计(综合实验)报告题目:第四个实验数字电子钟院系:计算机科学系班级:计算计科学与技术1班学号:学生姓名:队员姓名:指导教师:《数字逻辑》综合实验任务书一、目的与要求1 目的综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。
注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。
培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。
提高学生运用所学的理论知识和技能解决实际问题的能及其基本工程素质。
2.要求能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。
根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。
要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。
进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。
学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。
利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。
学会撰写综合实验总结报告。
通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。
要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。
在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。
二、主要内容数字电子钟设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。
元器件选择74LS162:4块 与非门74LS00:2块 共阳数码管LED 74LS161:2块 GAL16V8:2块 晶体振荡器:1MHZ GAL20V8:1块 TDS-4实验箱导线若干所需要器件的图片如下1同步十进制计数器74LS162 3输入正与非门74LS002异步十六进制计数器 74LS161 4 GAL20V8一、 设计(实验)正文数字钟实际上是一个对标准频率(1HZ )进行计数的计数电路。
数电课程设计--数字钟
目录摘要 (I)1 数字钟的构成 (1)2 数字钟单元电路的设计 (3)2.1 振荡器电路设计 (3)2.2 时间计数单元设计 (3)2.2.1 集成异步计数器74LS90. (3)2.2.2 用74LS90构成秒和分计数器电路 (5)2.2.3 用74LS90构成时计数器电路 (6)2.2.4 时间计数单元总电路 (6)2.3 译码显示单元电路设计 (7)2.3.1 译码器74LS48 (7)2.3.2 显示器LG5011AH (9)2.3.3 译码显示电路 (10)2.4 校时单元电路设计 (11)3 数字钟的实现电路及其工作原理 (12)4 电路的安装与调试 (13)5 课程设计心得体会 (14)参考文献 (15)附录1 (16)摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。
数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和24进制计时计数器,秒、分、时的译码显示部分及校正电路等。
采用74LS系列(双列直插式)中小规模集成芯片进行硬件的焊接。
关键词:数字钟振荡器计数器译码驱动1 数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。
秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。
计数器的输出分别经译码器送显示器显示。
由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,当计时出现误差时,可以用校时电路校时、校分。
数字逻辑课程设计 数字钟的设计 包括完整电路图
数字逻辑课程设计报告数字钟的设计与制作一、设计任务和基本要求 (1)二、原理分析与电路设计 (1)1、数字钟的构成 (1)2、数字钟的工作原理与电路设计 (1)1)振荡器 (1)2) 计数器 (2)3) 译码显示电路 (3)4) 校时电路 (3)5) 整点报时电路 (6)三、系统元器件的功能和作用 (6)1、74LS90芯片的功能和作用 (6)2、74LS47芯片 (6)3、半导体共阴极数码管 (6)四、整机电路设计 (6)五、系统调试 (6)总结与建议 (6)参考文献 (7)一、设计任务和基本要求:1、秒、分为00~59六十进制计数器。
2、时为00~23二十四进制计数器。
3、周显示从1~7为七进制计数器。
4、可手动校正,且具有整点报时功能。
5、用LED数码管作为显示器件。
总体方案:干电路系统由秒信号发生器、“星期、时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。
二、原理分析与电路设计:1、数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路所示为数字钟的总体电路框图。
2、数字钟的工作原理与电路设计1)振荡器:用信号发生器产生1 Hz脉冲信号2)计数器:秒计数器和分计数器都采用两块74LS90接成60进制计数器,如图所示。
时计数器则采用两块74LS90接成24进制计数器,如图所示。
星期计数器采用一块74LS90芯片接成7进制计数器。
如图所示。
图74LS90接成60进制计数器图1.3 74LS90接成24进制计数器图1.4 74LS90接成7进制计数器秒脉冲信号经秒计数器累计,达到60时,向分计数器送出一个分脉冲信号。
分脉冲信号再经过分计数器累计,达到60时,向时计数器送出一个时脉冲信号。
时脉冲信号再经过时计数器累计,达到24时,向星期计数器送出一个星期脉冲信号,星期脉冲信号在经过星期计数器累计,达到7时进行复位归零。
数字电路课程设计——数字闹钟
当计数至1010时,LD=0, 异步置数,0000,计数0~9; 此时LD出现上升沿,D 触发器接收信号,置1;
U/D=0,加法计数;
继续计数:10、11、12; (十位由D触发器输出, 个位由191输出)
当计数到13,即191刚出现0011时,U/D=1,RD=0, 191开始减计数2变1,D触发器清零,即从12翻至1.
CD4060
1 11 10 1 14级计数器
12脚应接地
3脚Q14: 输出2Hz
石英晶体
C2
VDD C1 16 1
Q10 15 2
Q8 14 3
Q9 13 4
CLR CP1 CP0 12 5 11 6 10 7
CP0 9 8
22
32768Hz
CD4060
15分频电路构成的秒脉冲电路
23
三、单元电路的设计
35
三、单元电路的设计
振荡器的设计 分频器的设计
时、分、秒计数器的设计
译码显示电路设计
校时电路的设计
定时控制电路的设计
正点报时电路的设计
报整点时数电路的设计
触摸报时电路的设计
36
4.译码显示电路设计
74LS47、74LS48为BCD—7段译码/驱动器。 74LS47可用来驱动共阳极的发光二极管显示器示器; 74LS48则用来驱动共阴极的发光二极管显示器。
“快校时”是,通过开关控制,使计数器对1Hz 的校时脉冲计数。
“慢校时”是用手动产生单脉冲作校时脉冲。
40
5.校时电路的设计
至时个位计数器 至分个位计数器 需要注意的是,校时电路是由与非门构 成的组合逻辑电路,开关S1或S2为“0” & & 或“1”时,可能会产生抖动,接电容C1 、C2可以缓解抖动。必要时还应将其改 如果校时脉冲由 & & & & 为去抖动开关电路 单次脉冲产生器 分十位 S2为校“时 S1秒十位 为校“分 提供,则可以进 1 1 进位脉冲 进位脉冲 ”用的控 ”用的控 行“慢校时” 当S1或S2分别 校时脉冲 制开关 制开关 为“0”时可 S S C C 进行“快校时 3.3k 3.3k ” 0.01F 0.01F
数字逻辑课程设计-电子时钟
《数字逻辑》课程设计报告题目:数字电子钟专业:网络工程班级: 14网络工程2班组长:钟伟邦(1414080903202)成员:刘雄锋(1414080903223)惠州学院计算机科学系二○一六年一月七日目录1 设计任务书2 总体方案设计2.1 功能和逻辑需求分析2.2 总体方案设计3 单元模块设计3.1 分秒计数器电路设计3.2 时计数器电路设计3.3总体电路设计(画出总体电路图)4 电路调试与测试4.1 时计数器4.2 分秒计数器5 总结附录(参考资料清单及元器件清单)1 设计任务书10.数字电子钟(*)设计一台能显示时﹑分、秒的数字电子钟,要求如下:1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器;2)可手动校正:分别对秒﹑分﹑时进行连续脉冲输入校正(校正时不能输出进位)。
本次数字时钟电路设计采用GAL系列芯片来分别实现时、分、秒的24进制和60进制的循环电路,并支持手动校正的功能。
2 总体方案设计用集成电路设计一台能自动显示时、分、秒的数字电子钟,只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲输入调整或连续脉冲输入的校正。
2.1 功能和逻辑需求分析本电路总共分为三个单元模块,分别为时,分,秒,其功能分别用于电子时钟上的时,分,秒的计算,其中,用GAL22V10设计24进制(十位为2进制,个位为4进制)的计数器用于实现时的计算功能(计数从00到23时清零),用GAL16V8D设计60进制的计数器(十位为6进制,个位为10进制)用于实现分和秒的计算功能(计数从00到59时清零并向前进位),当时钟计数到23时59分59秒时,使计数器的小时部分清零,进而实现整体循环计时的功能。
2.2 总体方案设计工作原理:当开关1为高电平时,在时钟信号作用下,时钟正常工作;当开关1为低电平时,时钟停止(状态保持)在2状态下,开关2/3/4为高电平时,时钟进入校正状态(通过时钟信号的连续输入进行校正)3 单元模块设计3.1分、秒计数器电路设计芯片类型:GAL16V8D前后级联系:当秒计数器到达59时,秒计数器的co会输出1,所以,与之连接的时计数器的cen此时输入1(分计数器进入正常工作状态),也就是说在下一个脉冲来临的时候,分计数器上的数字会加1,实现了秒到分的进位。
数电课设-数字式闹钟
课程设计任务书数字式闹钟第一部分设计任务1.1设计任务(1) 时钟功能:具有24小时或12小时的计时方式,显示时、分、秒。
(2) 具有快速校准时、分、秒的功能。
(3) 能设定起闹时刻,响闹时间为1分钟,超过1分钟自动停;具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。
1.2设计指标(1).有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。
时十位显示时个位显示分十位显示(2). 计时以24小时为周期。
(23:59→00:00)(3).具有较时电路,可进行分、时较对。
(4).走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃,闹铃响时约3s。
第二部分设计方案2.1总体设计方案说明系统组成:显示电路:译码器数码管秒信号发生器:由LM555构成多谐振荡器走时电路:计数器和与非门组成校时电路:秒信号调节闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成2.2模块结构与方框图1.秒钟与分钟显示电路用两片74290组成60进制计数器,输入计数脉冲CP加在CLKA’端,把QA与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数,个位接成十进制形式,十位接成六进制形式,当R0(1)=RO(2)=1且R9(1)*R9(2)=0时74290的输出被直接置0,当R0(1)*RO(2)=0和R9(1)*R9(2)=0时开始计数。
电路图如下:连接成总电路时,分钟的输入信号由秒钟计数器提供。
2.时钟显示电路:同样用2片74290组成24进制,当十位的为2,个位的为4时通过反馈电端,控制个位和十位同时清零,这样就可以按23翻0规律记数了。
电路图如下:连接成总电路时,时钟输入信号由分钟计数器提供。
3.调时分秒可接几个开关来控制个位,十位的信号输入,如开关1、2、space。
如图示:4.闹钟分设置与上面相差一个输入信号,如下图:时设置的个位为十进制,十位为三进制,当十位为2时,通过反馈控制端,个位不能大于等于4,即小时十位为2时,个位加到4时十位和个位马上全部置0,从而让小时的设置只能最大设为23。
数字电子技术课程设计——数字钟
数字电子技术课程设计——数字钟一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,和机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计和制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真和调试;④PCB文件生成和打印输出。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计和制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能和标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。
数字逻辑课程设计数字时钟课程设计数电课程设计 数字电子技术
数字逻辑课程设计课题名称数字时钟班级姓名指导教师日期 2008-6-24前言自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。
然而随着时间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。
钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。
诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。
在很多实际应用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统,从而应用到实际工作与生产中去。
因此,研究数字时钟及扩大其应用,有着非常现实的意义。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路.目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择.目录前言 (2)目录 (2)题目 (2)摘要 (2)关键字 (3)设计要求 (3)正文 (3)1电路结构与原理图 (3)2数码显示器 (3)60进制计数和24进制计数 (4)校时 (7)振荡器 (8)3.计算、仿真的过程和结果 (9)鸣谢 (11)元器件清单 (11)参考文献 (11)总结与体会 (11)教师评语 (12)数字时钟的课程设计摘要:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字逻辑课程设计数字钟姓名:学号:班级:物联网工程131班学院:计算机学院2015年10月10日一、任务与要求设计任务:设计一个具有整点报时功能的数字钟要求:1、显示时、分、秒的十进制数字显示,采用24小时制。
2、校时功能。
3、整点报时。
功能:1、计时功能:要求准确计时,以数字形式显示时、分、秒的时间。
小时的计时要求为“12翻1”。
2、校时功能:当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。
校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。
为使电路简单,这里只进行分和小时的校时。
对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。
校时方式有“快校时”和“慢校时”两种。
“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。
“慢校时”是用手动产生单脉冲作校时脉冲。
3、整点报时:每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。
二、设计方案电路组成框图:主体电路扩展电路时显示器时译码器时计数器分显示器分译码器分计数器校时电路秒显示器秒译码器秒计数器定时控制仿电台报时报整点时数数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。
其主要功能为计时、校时和报时。
利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。
秒、分、时之间采用同步级联的方式。
开关S1和S2分别是控制分和时的校时。
报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。
三、设计和实现过程1.各元件功能74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。
74LS00:二输入端四与非门74LS04:六反相器74LS08:二输入端四与门74LS20:四输入端双与非门2.各部分电路的设计过程(1)时分秒计数器的设计时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。
秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。
分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。
可选两片74LS160设计较为简单。
时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。
可选两片74LS160设计。
60进制同步递增计数器12进制同步递增计数器(2) 校时电路的设计S1为校“分”用的控制开关,S2为校“时”用的控制开关。
校时脉冲采用1Hz 脉冲,当S1或S2分别为“0”时可进行校时 。
分校时开关S1 分计数脉冲CP1 0 校时脉冲 1秒进位脉冲11S1CP S CP CP =⋅+⋅校时秒进位时校时开关S2 时计数脉冲CP2 0 校时脉冲 1分进位脉冲22S2CP S CP CP=⋅+⋅分进位校时图 快校时电路3.3k Ω&至时个位计数器&至分个位计数器&&&&11分十位进位脉冲秒十位 进位脉冲3.3k ΩC 20.01μFC 1 0.01μFS 2S 1校时脉冲+5V校时电路当重新接通电源或走时出现误差时都需要对时间进行校正。
通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。
根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。
(3)仿广播电台整点报时电路的设计设4声低音(约500Hz)分别发生在59分51秒、53秒、55秒及57秒,最后一声高音(约1kHz)发生在59分59秒,它们的持续时间均为1秒。
秒个位计数器的状态整点报时电路CP(秒) Q 3S1 Q 2S1 Q 1S1 Q 0S1 功 能 50 0 0 0 0 51 0 0 0 1 鸣低音 52 0 0 1 0 停 53 0 0 1 1 鸣低音 54 0 1 0 0 停 55 0 1 0 1 鸣低音 56 0 1 1 0 停 57 0 1 1 1 鸣低音 58 1 0 0 0 停 59 1 0 0 1 鸣高音 00停1&&&Q 0 Q 2 分十位Q 0 Q 3分个位11kHz 11音响电路Q 0 Q 2 秒十位 秒个位 Q 0&&&秒个位 Q 3500Hz报时电路一般时钟都具备整点报时的功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。
其作用方式是发出连续的或有节奏的音频声波。
根据要求,电路应在整点前10秒钟内开始整点报时。
即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。
分计数器显示“59”,即分计数器的输出为01011001;秒计数器的十位显示“5”,即秒计数器的十位的输出为0101时,只需要控制秒个位计数器,就能实现仿电台整点报时。
3.部分电路的仿真图(1)秒向分产生进位时,秒计数器输出及进位信号的波形图中60.000m之前为秒计数器输出的波形,60.000m时刻为秒向分进位,24由低电平变为高电平,其余还为低电平,说明此时分位为01分。
(2)时计数器(12进制)的输出波形图中58.000m时刻为12进制清零波形之后为01-02-…-12循环的计数。
(3)进行分校时时,分校时开关S1和分计数脉冲的波形图中为开关S1波形图,CPMI为分计数脉冲的波形,S1的开关均为手动。
(4)整点时,报时输出信号的波形图中由低电平变为高电平的时刻为分钟59秒钟为51的时刻,53,55,57,59时刻均为高电平,意为报警显示。
4.实物电路组装调试的方法、调试过程及遇到的问题:根据电路图进行实物的连接。
首先分别进行秒、分、时电路的连接,进行调试,实现成功后再进行校时电路的连接,检验分校时的开关是否正确,然后检验时校时的开关。
最后连接报时电路。
连接完成将分调到59分,观察当秒为51,53,55,57,59时是否发光报警。
调试分、秒时,如果到59后立刻清零则表示运行正常。
调试小时部分时,如果在计数到12,下一时刻就清零则表示运行正常。
校时电路的调试为按下逻辑开关后,所对应的校时部分和秒同步,再按下后则停止。
报时电路的调试为:先将分调到59分,在秒到51,53,55,57,59的时候会有发光二极管发光报警。
整体电路的调试为将小时调到12,分调到59,当秒为51,53,55,57,59时发光二极管发光,然后变为01时00分00秒。
电路连接好后,打开电源有时会出现LED数码显示不稳定的现象,可能是数字电子技术试验箱接触不良的问题。
电路连接在没有问题的情况下,出现秒和分40进位的情况。
将秒和分的输出取反后再连接,这种情况就消失了。
5.设计结论此次的数字钟设计需要先进行仿真,再将电路连接出来,只有熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了。
四、经验、体会总结在此次的数字钟设计过程中,更进一步地熟悉了74LS160、74LS00、74LS04、74LS08、74LS20等芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.在连接六进制,十进制,六十进制的进位及十二进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能. 此次的数字钟设计重在于仿真和接线。
总的来说,通过这次的设计实验更进一步地增强了实验的动手能力并学到了很多以前不了解的目录知识。
第一章总论 ..................................................... 错误!未定义书签。
一、项目提要 ..................................................... 错误!未定义书签。
二、可行性研究报告编制依据 ......................... 错误!未定义书签。
三、综合评价和论证结论 ................................. 错误!未定义书签。
四、存在问题与建议 ......................................... 错误!未定义书签。
第二章项目背景及必要性 ................................. 错误!未定义书签。
一、项目建设背景 ............................................. 错误!未定义书签。
二、项目区农业产业化经营发展现状............. 错误!未定义书签。
三、项目建设的必要性及目的意义................. 错误!未定义书签。
第三章建设条件 ................................................. 错误!未定义书签。
一、项目区概况 ................................................. 错误!未定义书签。
二、项目实施的有利条件 ................................. 错误!未定义书签。
第四章建设单位基本情况 ................................. 错误!未定义书签。
一、建设单位概况 ............................................. 错误!未定义书签。
二、研发能力 ..................................................... 错误!未定义书签。
三、财务状况 ..................................................... 错误!未定义书签。
第五章市场分析与销售方案 ............................... 错误!未定义书签。
一、市场分析 ..................................................... 错误!未定义书签。