八选一数据选择器实验报告——孙小喃
数字电子技术基础实验-8选1数据选择器74LS151
![数字电子技术基础实验-8选1数据选择器74LS151](https://img.taocdn.com/s3/m/64ae99d571fe910ef02df81b.png)
8选1数据选择器74LS151简介74LS151是一种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。
74LS151引脚图选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。
(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
74LS151功能表数据选择器的应用数据选择器除实现有选择的传送数据外,还有其他用途,下面介绍几种典型应用。
(1)逻辑函数产生器从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输入数据D0~D7的与或函数。
式中mi是C、B、A构成的最小项。
显然。
当Di=1时,其对应的最小项mi在与或表达式中出现,当Di=0时,对应的最小项就不出现。
利用这一点,不难实现组合逻辑函数。
已知逻辑函数,利用数据选择器构成函数产生器的过程是,将函数变换成最小项表达式,根据最小项表达式确定各数据输入端的二元常量。
将数据选择器的地址信号C、B、A作为函数的输入变量,数据输入D0~D7,作为控制信号,控制各最小项在输出逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为一个3变量的函数产生器。
例1 试用8选1数据选择器74LS151产生逻辑函数解:把式变换成最小项表达式:显然D3、D5、D6、D7,都应该等于1,而式中没有出现的最小项m0,m1,m2,m4的控制变量D0、D1、D2、D4都应该等于0,由此可画出该逻辑函数产生器的逻辑图:、例2 试用与上例相同的8选1数据选择器产生从表中可以看出,凡使L值为1的那些最小项,其控制变量应该等于1,即D1、D2、D4、D7等于1(对应XYZ:001、010、100、111),其他控制变量均等于0。
数据选择器及其应用实验报告
![数据选择器及其应用实验报告](https://img.taocdn.com/s3/m/4c793e2926d3240c844769eae009581b6bd9bd08.png)
数据选择器及其应用实验报告实验目的:
本实验的目的是通过实现数据选择器的功能,加深对于数字电路的理解,并提升对于数字电路实现的实践能力。
实验原理:
数据选择器是一种能够从多个数据信号中选择特定信号输出的数字电路,通常它有一个或多个数据输入线、一个或多个控制输入线、一个输出线和一个使能输入线。
在数据选择器输出线上的输出值,取决于控制输入线上的值以及选择从哪一个数据输入线接收数据信号。
在本次实验中,我们使用的是双二选一的数码开关。
“双”指的是它一共有两个信道供选择,“二选一”则代表只会选择其中一个信道作为输出。
实验步骤:
1.根据实验原理和实验材料的提供,搭建实验电路。
2.设置信号源,对选择器进行输入数据和控制信号的测试。
3.根据信号源输出的数据,通过实验电路计算出数据选择器输出的结果。
4.逐一更改控制信号的值,反复测试并记录数据。
并对实验记录进行整理和比较分析,以达到理解、检验和加深对数据选择器的认识。
实验结果:
在实验中我们完成了数据选择器的搭建和调试,并通过多次实验数据的记录与比较,成功实现了数据选择器的功能。
实验结论:
通过本次实验,我们深入学习了数据选择器的工作原理和实现方式,并从中进一步了解了数字电路的基本概念和实现方式。
通
过反复实验和分析,我们成功完成了数据选择器的功能调试,提升了我们的实践能力和对数字电路的理解。
八选一数据选择器实验报告——孙小喃
![八选一数据选择器实验报告——孙小喃](https://img.taocdn.com/s3/m/2964222ce2bd960590c6778c.png)
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:八选一数据选择器专业名称:电子科学与技术班级:32050801学号:05********教师姓名:程鸿亮2010年11月06日组别第三组同组同学钞田田实验日期2010年11月06日实验室名称______________成绩_____ 一.实验名称八选一数据选择器二.实验目的与要求目的:设计一个8选1的数据选择器,初步掌握QuartusII软件的使用方法以及硬件编程下载的基本技能。
要求:通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。
首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。
三.实验内容1、打开QuartusII软件,建立一个新的工程:1)单击菜单File\New Project Wizard…2)输入工程的路径、工程名以及顶层实体名。
3)单击Next>按钮,进入下一个界面。
由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。
4) 设置我们的器件信息:设置为cyclone∏,选择FBGA,484,8,在available devices中选择EP2C35F484C8 33216 483840 70 4, 然后单击Next>,指定第三方工具。
这里我们不指定第三方EDA工具,单击Next>后结束工程建立。
2、建立VHDL文件:1) 单击File\New菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。
2) 在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。
3) 编译工程单击Processing\Start Compilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。
八选一数据选择器
![八选一数据选择器](https://img.taocdn.com/s3/m/65aff19331126edb6e1a104d.png)
《集成电路设计实践》报告题目:8选1数据选择器院系:自动化学院电子工程系专业班级:微电学生学号:学生姓名:指导教师姓名:职称:讲师起止时间:2015-12-21——2016-1-9成绩:设计任务1) 依据8选1数据选择器的真值表,给出八选一MUX电路图,完成由电路图到晶体管级的转化(需提出至少2种方案);2) 绘制原理图(Sedit),完成电路特性模拟(Tspice,瞬态特性),给出电路最大延时时间;3) 遵循设计规则完成晶体管级电路图的版图,流程如下:版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图);4) 版图检查与验证(DRC检查);5) 针对自己画的版图,给出实现该电路的工艺流程图。
电路设计方案的确定数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。
它的作用相当于多个输入的单刀多掷开关,其示意图如下所示数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路,函数发生器及数码比较器等,常见的数据比较器有2选1,4选1,8选1,16选1电路。
示意图在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器。
数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。
本次设计的是8选1数据选择器。
选择控制端(地址端)为K2,K1,K0,按二进制译码,从8个输入数据D0-D7中,选择一个需要的数据送到输出端Y。
根据多路开关的开关状态(地址码)K2,K1,K0的状态选择D0-D7中某一个通道的数据输送到输出端Y。
如:K2K1K0=000,则选择D0数据到输出端,即Y=D0。
如:K2K1K0=001,则选择D1数据到输出端,即Y=D1,其余类推。
8选1 MUX功能表如下:电路图设计如下:1:此电路为组合逻辑门电路,电路为CMOS实现功能,电路规模较大,工作量大,版图布局规模较大,相比之下,选择传输门电路实现8选1数据选择器功能。
8选1选择器设计实验报告csdn
![8选1选择器设计实验报告csdn](https://img.taocdn.com/s3/m/55b1d92115791711cc7931b765ce05087632758b.png)
8选1选择器设计实验报告csdn一、设计思路:1.定义选择器语法:在CSS中,选择器以字符","开头,后面跟着具体的属性名和属性值,用于选择具有指定属性值的元素。
2.解析选择器:通过正则表达式提取出属性名和属性值,用于后续的元素选择。
3.选择元素:遍历文档中的所有元素,对每个元素检查其属性是否满足选择器定义的条件。
如果满足条件,则将该元素添加到结果中。
4.应用选择器样式:将选择器定义的样式应用到满足条件的元素上,改变其外观效果。
二、设计实现:1.定义选择器:```css属性名=属性值```2.解析选择器:```javascriptfunction parseSelector(selector)var rege某 = /^\,(\w+)=([\w-]+)/;var match = selector.match(rege某);if (match)returnattr: match[1],value: match[2]};}return null;```3.选择元素:```javascriptfunction selectElements(selector)var elements = document.getElementsByTagName("某");var result = [];var parsedSelector = parseSelector(selector);if (parsedSelector)for (var i = 0; i < elements.length; i++)if (elements[i].getAttribute(parsedSelector.attr) === parsedSelector.value)result.push(elements[i]);}}}return result;```4.应用选择器样式:```javascriptfunction applyStyles(elements, styles)for (var i = 0; i < elements.length; i++)for (var property in styles)elements[i].style[property] = styles[property];}}```三、实验结果:通过将8选1选择器应用到实际开发中的案例中,得出以下测试结果:1.在一个包含多个元素的页面中,选择器能够正确选择具有指定属性值的元素,并将样式应用到这些元素上。
fpga8选1数据选择器
![fpga8选1数据选择器](https://img.taocdn.com/s3/m/7bb7e3e184254b35eefd34ec.png)
精心整理2019年9月FPGA 实验报告姓名 朱聪聪 学号 39 姓名 武帅 学号 课题名称 8选1数据选择器设计实验目的1、理解数据选择器功能。
2、掌握VHDL 并行语句中条件信号赋值的格式和用法。
设计要求设计一个8选1数据选择器使其满足如下真值表:8选1数据选择器真值表地址码(选择信号)输出Q A0 A1 A20 0 0D0 0 0 1D1 0 1 0D2 0 1 1D3 1 0 0D4 1 0 1D5 1 1 0D6 1 1 1D7 表一1.利用条件信号赋值语句实现8选1数据选择器器功能。
2.完成设计的仿真,并记录、分析仿真波形。
设计思路 条件信号赋值语句也是一种并行信号赋值语句。
条件信号赋值语句可以根据不同的条件将不同的表达式赋值给目标信号,格式如下:信号<=表达式1WHEN 赋值条件1ELSE表达式2WHEN 赋值条件2ELSE ……表达式n ;精心整理2019年9月设计原理图及源程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX8 ISPORT(D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);A:IN STD_LOGIC_VECTOR(2 DOWNTO 0);Q:OUT STD_LOGIC);END ENTITY MUX8;ARCHITECTURE one OF MUX8 IS BEGIN Q<=D(0) WHEN A="000" ELSE D(1) WHEN A="001" ELSE D(2) WHEN A="010" ELSED(3) WHEN A="011" ELSED(4) WHEN A="100" ELSED(5) WHEN A="101" ELSED(6) WHEN A="110" ELSED(7) WHEN A="111" ELSE'Z';END one;.. 仿真波形图实验总结及个人心得体会通过本次实验,复习了之前学习的条件信号赋值语句。
组合逻辑电路数据选择器实验报告
![组合逻辑电路数据选择器实验报告](https://img.taocdn.com/s3/m/f2b773ef6e1aff00bed5b9f3f90f76c661374c88.png)
组合逻辑电路数据选择器实验报告
本次实验的目的是通过实验学习组合逻辑电路数据选择器的原理和应用。
数据选择器是一种常用的组合逻辑电路,它可以根据控制信号选择不同的输入数据,并将所选数据输出。
在数字电路中,数据选择器常用于多路选择、数据交换和数据复用等应用中。
实验中我们使用了74LS151芯片作为数据选择器,该芯片具有8个输入端和1个输出端,可以根据控制信号选择其中一个输入端的数据输出。
实验中我们将8个开关分别连接到芯片的8个输入端,通过控制信号选择其中一个开关的输入数据输出到芯片的输出端。
实验中我们使用了示波器观察芯片输出端的波形,以验证芯片的工作状态。
在实验中,我们首先进行了芯片的引脚连接,将芯片的8个输入端分别连接到8个开关上,将控制信号连接到芯片的控制端。
然后我们通过控制信号选择不同的输入端,观察芯片输出端的波形变化。
实验结果表明,芯片能够正确地选择所需的输入数据,并将其输出到输出端。
通过本次实验,我们深入了解了组合逻辑电路数据选择器的原理和应用,掌握了芯片的引脚连接和控制信号的设置方法。
同时,我们也学会了使用示波器观察芯片输出端的波形,以验证芯片的工作状态。
这些知识和技能对于我们今后的学习和工作都具有重要的意义。
本次实验使我们更加深入地了解了组合逻辑电路数据选择器的原理和应用,掌握了芯片的引脚连接和控制信号的设置方法,同时也提高了我们的实验操作能力和实验数据分析能力。
八选一数据选择器
![八选一数据选择器](https://img.taocdn.com/s3/m/86df0a262af90242a895e5d8.png)
八选一数据选择器学校:山西大同大学班级:电子三班学号:110712011335姓名:张俊岭一.实验目的 :1设计一个8选1的数据选择器,掌握ispLEVER的VHDL文本设计流程全过程。
二.仪器装置:电脑试验箱导线若干三.实验原理 :通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。
四.实验步骤:1 编写八选一多路选择器的源代码,编译完成后,新建波形仿真文件,进行功能仿真,验证真值关系是否满足。
2 引脚指定后,下载到芯片ispLSI1032E-70LJ84中,测试逻辑关系,验证本项设计的功能。
五实验记录: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EIGHT_XUAN_1 ISPORT( ST: IN STD_LOGIC;A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);D: IN STD_LOGIC_VECTOR(7 DOWNTO 0);Q: OUT STD_LOGIC);END;ARCHITECTURE HBV OF EIGHT_XUAN_1 ISSIGNAL Q1: STD_LOGIC;BEGINPROCESS(A)BEGINIF ST='1' THEN Q1<='0';ELSECASE A ISWHEN "000"=> Q1 <= D(0);WHEN "001"=> Q1 <= D(1);WHEN "010"=> Q1 <= D(2);WHEN "011"=> Q1 <= D(3);WHEN "100"=> Q1 <= D(4);WHEN "101"=> Q1 <= D(5);WHEN "110"=> Q1 <= D(6);WHEN "111"=> Q1 <= D(7);WHEN OTHERS => NULL;END CASE;END IF;END PROCESS;Q<= Q1;END HBV;六.问题及讨论:1.这次实验运用ispLEVER软件设计并仿真了8选1数据选择器。
数字电子技术基础实验-8选1数据选择器74LS151
![数字电子技术基础实验-8选1数据选择器74LS151](https://img.taocdn.com/s3/m/2c36e5c8a48da0116c175f0e7cd184254b351b7a.png)
数字电⼦技术基础实验-8选1数据选择器74LS1518选1数据选择器74LS151简介74LS151是⼀种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输⼊端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。
74LS151引脚图选择控制端(地址端)为C~A,按⼆进制译码,从8个输⼊数据D0~D7中,选择⼀个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均⽆输出(Y=0,W=1),多路开关被禁⽌。
(2)使能端G=0时,多路开关正常⼯作,根据地址码C、B、A的状态选择D0~D7中某⼀个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
74LS151功能表数据选择器的应⽤数据选择器除实现有选择的传送数据外,还有其他⽤途,下⾯介绍⼏种典型应⽤。
(1)逻辑函数产⽣器从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输⼊数据D0~D7的与或函数。
式中mi是C、B、A构成的最⼩项。
显然。
当Di=1时,其对应的最⼩项mi在与或表达式中出现,当Di=0时,对应的最⼩项就不出现。
利⽤这⼀点,不难实现组合逻辑函数。
已知逻辑函数,利⽤数据选择器构成函数产⽣器的过程是,将函数变换成最⼩项表达式,根据最⼩项表达式确定各数据输⼊端的⼆元常量。
将数据选择器的地址信号C、B、A作为函数的输⼊变量,数据输⼊D0~D7,作为控制信号,控制各最⼩项在输出逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为⼀个3变量的函数产⽣器。
例1 试⽤8选1数据选择器74LS151产⽣逻辑函数解:把式变换成最⼩项表达式:显然D3、D5、D6、D7,都应该等于1,⽽式中没有出现的最⼩项m0,m1,m2,m4的控制变量D0、D1、D2、D4都应该等于0,由此可画出该逻辑函数产⽣器的逻辑图:、例2 试⽤与上例相同的8选1数据选择器产⽣从表中可以看出,凡使L值为1的那些最⼩项,其控制变量应该等于1,即D1、D2、D4、D7等于1(对应XYZ:001、010、100、111),其他控制变量均等于0。
数据选择器实验报告
![数据选择器实验报告](https://img.taocdn.com/s3/m/f92faee2f424ccbff121dd36a32d7375a417c62d.png)
数据选择器实验报告在现代生活中,数据处理已经成为不可避免的任务。
而数据选择器就是处理之中的重要组成部分,它可以帮助我们从大量的数据中,快速准确地筛选出我们需要的信息。
因此,本文将介绍我们在实验室中进行的一次数据选择器实验。
一、实验目的本实验的目的是验证数据选择器的基本功能和性能。
在实验中,我们将通过模拟多种不同的数据输入,以检测不同类型的数据选择器在各种情况下的响应能力,并比较它们的工作效率和准确性。
二、实验步骤1. 实验设备准备本次实验主要使用以下两种设备:数字信号发生器和示波器。
数字信号发生器可以生成不同频率和振幅的电信号,模拟各种不同类型的数据输入。
示波器可以帮助我们观察数据选择器的输出情况。
2. 实验过程首先,我们将数字信号发生器连接到数据选择器的输入端。
然后,我们将以不同的频率和振幅向数据选择器输入各种不同类型的信号。
在读取数据时,我们将使用示波器来分析每个数据选择器的输出情况。
在本次实验中,我们测试了以下几种数据选择器:二选一数据选择器、四选一数据选择器、八选一数据选择器和十六选一数据选择器。
三、实验结果经过实验,我们得出了以下结论:1. 二选一数据选择器可以在两个输入数据中间快速切换,准确选择出需要的信息。
2. 四选一数据选择器的准确性和速度相对较高,在多种输入数据中都可以迅速稳定的输出正确的数据。
3. 八选一数据选择器的性能相对更优秀,可以更加快速地响应各种复杂的数据情况。
4. 十六选一数据选择器可以在最大的数据范围内进行精确的筛选,可以作为对于数据量大小和场景复杂性都有高要求的大规模数据处理中使用。
我们还注意到,在实验中,所有数据选择器的响应时间非常快,几乎是瞬间的。
这使得它们可以处理高速输入数据,满足各种应用需求。
四、结论在本次实验中,我们测试了多种不同类型的数据选择器。
通过实验,我们得出了结论:不同类型的数据选择器在处理不同类型和规模的数据方面表现得分别优异,可以根据实际需求自行选择使用。
实验七 4选1和8选1数据选择器的设计
![实验七 4选1和8选1数据选择器的设计](https://img.taocdn.com/s3/m/4e6959e4370cba1aa8114431b90d6c85ec3a881b.png)
实验七 4选1和8选1数据选择器的设计一、实验目的3. 掌握电路设计和仿真测试的方法。
二、实验原理数据选择器是一种数字电路,用于从多个输入信号中选择一个输出信号。
数据选择器根据控制信号的不同,可以实现4选1或8选1的选择功能。
4选1数据选择器的原理如下:输入端有4个数据输入,一个选择输入S(S=0时选择输入1,S=1时选择输入2,S=2时选择输入3,S=3时选择输入4),根据S的不同,输出端输出选择的输入信号。
8选1数据选择器的原理与4选1相似,只是输入端有8个数据输入,选择输入S的取值范围为0~7。
三、实验器材1. 计算机和仿真软件Multisim;2. 数字电路实验箱、数字电路元器件。
四、实验步骤2. 在Multisim中建立相应的电路,并进行仿真测试。
3. 分析仿真结果,验证电路是否符合设计要求,如果出现问题,及时查找原因并修改电路图。
4. 根据实验结果,总结设计和仿真方法,掌握数据选择器电路的设计和仿真测试技巧。
五、实验注意事项1. 在进行电路设计和仿真测试时,应仔细分析原理,并尽可能避免出现矛盾和异常。
2. 电路元器件的选用要合适,尤其是输入和输出端的电阻值和工作电压要一致。
3. 在进行仿真测试时,要保证仿真参数的准确性,特别是信号幅度和频率要符合预期。
4. 电路测试完成后,应及时记录实验结果,包括电路图、仿真参数、测试数据等信息。
六、实验结果分析经过设计和仿真测试,我们成功实现了4选1和8选1数据选择器电路的设计,并获得了合适的仿真结果。
在实验过程中,我们掌握了数据选择器电路的设计和仿真测试技巧,积累了一定的电路设计和测试经验。
综上所述,本次实验达到了预期目标,并为我们今后的电路设计和测试工作提供了一定的指导和参考。
实验七 4选1和8选1数据选择器的设计
![实验七 4选1和8选1数据选择器的设计](https://img.taocdn.com/s3/m/df69fe265901020207409caa.png)
浙江大学城市学院实验报告纸一.实验目的(1)通过用VHDL语言设计4选1数据选择器和8选1数据选择器;(2)掌握4选1数据选择器的设计方案;(3)掌握软件工具的使用方法。
二.实验原理当S=0时工作,S=1时禁止工作。
A0和A1为4选1数据选择器的控制信号。
三.实验内容用VHDL言设计4选1数据选择器,进行编译、波形仿真及器件编程,并自行用VHDL语言设计8选1数据选择器。
四.源程序清单(1)4选1数据选择器library ieee;use ieee.std_logic_1164.all;entity gaoqianyi isport (d0,d1,d2,d3,a0,a1,s:in std_logic;y:out std_logic);end gaoqianyi;architecture zhang of gaoqianyi is signal a:std_logic_vector(1 downto 0); beginprocess (a0,a1)begina<=a1&a0;if (s='0') thencase a iswhen"00"=>y<=d0;when"01"=>y<=d1;when"10"=>y<=d2;when others=>y<=d3; end case;end if;end process;end zhang;(2)8选1数据选择器library ieee;use ieee.std_logic_1164.all;entity sxy isport(d0,d1,d2,d3,d4,d5,d6,d7,a0,a1,a2,s:in std_logic;y:out std_logic);end sxy;architecture zhang of sxy issignal sel:integer range 0 to 8;beginsel<=0 when a0='0' and a1='0' and a2='0' and s='0' else1 when a0='1' and a1='0' and a2='0' and s='0' else2 when a0='0' and a1='1' and a2='0' and s='0' else3 when a0='1' and a1='1' and a2='0' and s='0' else4 when a0='0' and a1='0' and a2='1' and s='0' else5 when a0='1' and a1='0' and a2='1' and s='0' else6 when a0='0' and a1='1' and a2='1' and s='0' else7 when a0='1' and a1='1' and a2='1' and s='0' else8;with sel selecty <= d0 when 0,d1 when 1,d2 when 2,d3 when 3,d4 when 4,d5 when 5,d6 when 6,d7 when 7,'0' when others;end zhang;五.实验总结这个实验通过用VHDL语言设计了4选1数据选择器和8选1数据选择器,在编译时遇到一些语法问题,在老师的指导下都轻松的编译成功。
实验3-数据选择器功能测试及应用-实验报告
![实验3-数据选择器功能测试及应用-实验报告](https://img.taocdn.com/s3/m/d3987b34192e45361066f5d3.png)
Guangxi University of Science and Technology实验报告实验课程:数字电子技术基础实验内容:数据选择器功能测试及应用院(系):计算机科学与通信工程学院专业:通信工程班级:141班学生姓名:柏松学号:201400402037指导教师:段淑玉2016年6月29 日一、实验目的:1、进一步熟悉用实验来分析组合逻辑电路功能的方法。
2、了解数据选择器(多路开关MUX )的逻辑功能及常用集成数选器。
3、了解组合逻辑电路由小规模集成电路设计和由中规模集成电路设计的不同特点。
二、实验原理:本实验使用的集成数据选择器74LS151为8选1数据选择器,数据选择端3个地址输入A 2A 1A 0用于选择8个数据输入通道D 7~D 0中对应下标的一个数据输入通道,并实现将该通道输入数据传送到输出端Y (或互补输出端Y )。
74LS151还有一个低电平有效的使能端EN ,以便实现扩展应用。
74LS151引脚功能如图5-4和附表所示。
在使能条件下(EN =0),74LS151的输出可以表示为∑==70D Y i i i m ,其中m i 为地址变量A 2、A 1、A 0的最小项。
只要确定输入数据就能实现相应的逻辑函数,成为逻辑函数发生器。
实验线路图图5-41 2 3 4 5 6 7 8910 11 12 13 14 74LS151D 3 D 2 Y GNDD 0 CC D 1 15 16 Y EN 21 0 7 6 5 4 EN A2 A 1 A 0 Y 1 X X X 0 1 0 0 0 0 D 0 0 0 0 1 D 1 0 0 1 0 D 2 0 0 1 1 D3 0 1 0 0 D4 0 1 0 1 D5 0 1 1 0 D6 0 1 1 1 D 774151功能表三、实验内容及步骤:实验内容:1、利用实验装置测试74LS151八选一数据选择器的逻辑功能,按图5-1接线,将实验结果记录在下表中。
数据选择器实验报告
![数据选择器实验报告](https://img.taocdn.com/s3/m/3407f7784a35eefdc8d376eeaeaad1f347931162.png)
一、实验目的1. 理解数据选择器的基本原理和功能。
2. 掌握数据选择器的使用方法及其在数字电路中的应用。
3. 通过实验加深对组合逻辑电路的理解。
二、实验原理数据选择器是一种数字电路,它可以从多个输入端中选择一个数据输出。
其工作原理如下:根据地址码的不同,数据选择器从N路输入中选择一路输出。
常见的数据选择器有4选1、8选1等类型。
本实验使用的是双4选1数据选择器74LS153,它具有4个数据输入端(D0、D1、D2、D3)、3个地址输入端(A0、A1、A2)和1个使能端(G)。
当G=0时,数据选择器处于正常工作状态;当G=1时,所有数据输入端均被封锁,输出端输出高阻态。
三、实验器材1. 双4选1数据选择器74LS1532. 逻辑门电路3. 电源4. 指示灯5. 连接线6. 逻辑分析仪四、实验步骤1. 连接电路根据实验要求,连接双4选1数据选择器74LS153、逻辑门电路、电源、指示灯和连线。
2. 设计电路(1)根据实验要求,设计一个简单的数据选择器电路,实现以下功能:当A0=0、A1=0时,输出D0;当A0=0、A1=1时,输出D1;当A0=1、A1=0时,输出D2;当A0=1、A1=1时,输出D3。
(2)根据设计要求,将74LS153的数据输入端与逻辑门电路连接,实现数据选择功能。
3. 测试电路(1)使用逻辑分析仪或示波器观察输出端波形,验证电路是否满足设计要求。
(2)根据实验要求,测试不同地址码下的输出结果,确保电路正常工作。
4. 分析实验结果根据实验结果,分析数据选择器的工作原理和特点,总结实验心得。
五、实验结果与分析1. 实验结果通过实验,验证了双4选1数据选择器74LS153在正常工作状态下能够实现数据选择功能。
在不同地址码下,输出端输出对应的数据输入端数据。
2. 实验分析(1)数据选择器在数字电路中具有广泛的应用,如数据分配、数据选择、数据比较等。
(2)在设计数据选择器电路时,需要注意以下几点:a. 根据实际需求选择合适的数据选择器类型和规模;b. 合理安排数据输入端、地址输入端和使能端;c. 仔细检查电路连接,确保电路正常工作。
八选一数据选择器和四位数据比较器verilog实验报告
![八选一数据选择器和四位数据比较器verilog实验报告](https://img.taocdn.com/s3/m/d184f2712cc58bd63086bda7.png)
八选一数据选择器和四位数据比较器v e r i l o g实验报告内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)Verilog HDV数字设计与综合实验报告微电子0901班姓名:袁东明 _学号:_04094026一、实验课题:1.八选一数据选择器2.四位数据比较器二、八选一数据选择器Verilog程序:2.1主程序module option(a,b,c,d,e,f,g,h,s0,s1,s2,out);input [2:0] a,b,c,d,e,f,g,h;input s0,s1,s2;output [2:0] out;reg [2:0] out;always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begincase({s0,s1,s2})3'd0 : out=a;3'd1 : out=b;3'd2 : out=c;3'd3 : out=d;3'd4 : out=e;3'd5 : out=f;3'd6 : out=g;3'd7 : out=h;endcaseendendmodule2.2激励程序module sti;reg [2:0] A,B,C,D,E,F,G,H;reg S0,S1,S2;wire [2:0] OUT;option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT);initialbeginA=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0;#100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=0; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=1; endendmodule三、四位数据比较器3.1主程序module fourcompare(a,b,c);input[3:0] a,b;output [1:0] c;reg[1:0] c;always@(a or b)beginif(a>b)c=2'd2;else if(a<b)c=2'd1;elsec=2'd0;endendmodule3.2激励程序module sti;reg [3:0] A,B;wire [1:0]C;fourcompare fte(A,B,C); initialbeginA=2'd0;B=2'd1;#100 A=2'd2;B=2'd1;#100 A=2'd1;B=2'd1;endendmodule四、实验波形图截图:4.1八选一数据选择器4.2四位数据比较器四、波形分析及实验心得:4.1.波形分析1.八选一数据选择器输入数据为A=000,B=001,C=010,D=011,E=100,F=101,g=110,h=111;S0,S1,S2,为选择控制端,它们组成一个三位数,记为enable,控制数据的输出,其中S0为最高位,S1次之,S2为最低位。
数据选择器_实验报告
![数据选择器_实验报告](https://img.taocdn.com/s3/m/9078b5fbf424ccbff121dd36a32d7375a417c6ef.png)
一、实验目的1. 理解数据选择器的工作原理和逻辑功能。
2. 掌握数据选择器的引脚及其作用。
3. 学会使用数据选择器进行组合逻辑电路的设计。
4. 通过实验验证数据选择器的应用。
二、实验原理数据选择器,又称多路选择器,是一种能够从多个数据输入中选取一路输出到输出端的数字电路。
其基本原理是利用控制信号来选择所需的输入数据。
常见的数据选择器有二选一、四选一、八选一等。
三、实验器材1. 74LS153双四选一数据选择器2. 逻辑分析仪3. 电源4. 连接线5. 逻辑门电路四、实验步骤1. 搭建实验电路:按照实验原理图连接好电路,包括数据选择器、输入端、输出端和控制端。
2. 输入数据测试:向数据选择器的输入端输入不同的数据,观察输出端的变化。
3. 控制信号测试:改变控制信号的状态,观察输出端的变化,验证数据选择器的逻辑功能。
4. 组合逻辑电路设计:设计一个组合逻辑电路,使用数据选择器实现所需的逻辑功能。
5. 电路仿真:使用逻辑分析仪对电路进行仿真,验证电路的正确性。
五、实验结果与分析1. 输入数据测试:当输入端的数据分别为0和1时,输出端能够正确地输出对应的值。
2. 控制信号测试:当控制信号改变时,输出端能够正确地选择对应的输入数据。
3. 组合逻辑电路设计:设计了一个组合逻辑电路,使用数据选择器实现了所需的逻辑功能。
4. 电路仿真:仿真结果显示,电路能够正确地实现预期的逻辑功能。
六、实验心得1. 通过本次实验,我对数据选择器的工作原理和逻辑功能有了更深入的了解。
2. 实验过程中,我学会了如何使用数据选择器进行组合逻辑电路的设计。
3. 实验让我认识到,在实际应用中,数据选择器可以简化电路设计,提高电路的可靠性。
4. 通过本次实验,我提高了自己的动手能力和逻辑思维能力。
七、总结本次实验成功地实现了数据选择器的测试和应用,验证了数据选择器的逻辑功能。
通过实验,我对数据选择器有了更深入的了解,并掌握了使用数据选择器进行组合逻辑电路设计的技巧。
数据选择器设计实验报告
![数据选择器设计实验报告](https://img.taocdn.com/s3/m/78f8f929ccbff121dd36836e.png)
实验报告数据选择器设计12传感网金涛1228403019一、实验目的1.熟悉硬件描述语言软件的使用。
2.数序数据选择器的工作原理和逻辑功能。
3.掌握数据选择器的设计方法。
二、实验原理数据选择器的逻辑功能是从多路数据输入信号中选出一路数据送到输出端,输出的数据取决于控制输入端的状态。
三、实验内容1.设计一个四选一数据选择器。
程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX4_1 ISPORT(D3,D2,D1,D0,A1,A0:INSTD_LOGIC;Y:OUT STD_LOGIC);END ENTITY MUX4_1;ARCHITECTURE ONE OF MUX4_1 ISBEGINPROCESS(D3,D2,D1,D0,A1,A0)BEGINIF(A0='0' AND A1='0') THENY<=D0 ;ELSIF (A0='0' AND A1='1') THENY<=D1 ;ELSIF (A0='1' AND A1='0') THENY<=D2 ;ELSIF (A0='1' AND A1='1') THENY<=D3 ;END IF;END PROCESS;END ARCHITECTURE ONE;仿真波形:仿真波形分析:D0-D3是数据输入端,A1,A0是控制输入端,Y是数据输出端。
当A0=0,A1=0时Y=D0;当A0=0,A1=1时Y=D1;当A0=1,A1=0时Y=D2;当A0=1,A1=1时Y=D3;实体框图:2.设计一个八选一数据选择器。
程序代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux8_1 ISPORT(A:IN STD_LOGIC_VECTOR(2DOWNTO 0);D0,D1,D2,D3,D4,D5,D6,D7:INSTD_LOGIC;S:IN STD_LOGIC;Y:OUT STD_LOGIC);END mux8_1;ARCHITECTURE dataflow OF mux8_1ISBEGINPROCESS(A,D0,D1,D2,D3,D4,D5,D6,D7,S)BEGINIF(S='1')THEN Y<='0';ELSIF(S='0'AND A="000")THEN Y<=D0;ELSIF(S='0'AND A="001")THEN Y<=D1;ELSIF(S='0'AND A="010")THEN Y<=D2;ELSIF(S='0'AND A="011")THEN Y<=D3;ELSIF(S='0'AND A="100")THEN Y<=D4;ELSIF(S='0'AND A="101")THEN Y<=D5;ELSIF(S='0'AND A="110")THEN Y<=D6;ELSE Y<=D7;END IF;END PROCESS;END dataflow;仿真波形:仿真波形分析:S为使能端,低电平有效。
八选一数据选择器和四位数据比较器verilog实验报告
![八选一数据选择器和四位数据比较器verilog实验报告](https://img.taocdn.com/s3/m/46641650b94ae45c3b3567ec102de2bd9605dee2.png)
八选一数据选择器和四位数据比较器verilog实验报告实验报告:八选一数据选择器和四位数据比较器一、引言数据选择器和数据比较器是数字电路中常用的基本电路模块,它们在许多数字系统中起着重要的作用。
本实验通过使用Verilog语言,设计并实现了八选一数据选择器和四位数据比较器电路。
本实验报告将分别介绍这两个电路的设计原理、实验过程以及实验结果。
二、八选一数据选择器的设计1.设计原理八选一数据选择器是一种多路选择器,根据控制信号来选择其中一个输入信号输出。
其输入端包括8个数据输入信号(D0-D7)、3个控制信号(S2、S1、S0)以及一个使能信号(EN),输出端为一个数据输出信号(Y)。
当使能信号为高电平时,根据控制信号的值,将对应的输入信号输出。
2.设计过程本实验中,我们使用Verilog语言进行八选一数据选择器的设计。
首先,我们声明输入输出端口:module mux8to1(input [7:0] D, input [2:0] S, input EN,output reg Y);然后,我们使用case语句来实现根据控制信号选择输出信号的功能:beginif (EN)case (S)3'b000:Y=D[0];3'b001:Y=D[1];3'b010:Y=D[2];3'b011:Y=D[3];3'b100:Y=D[4];3'b101:Y=D[5];3'b110:Y=D[6];3'b111:Y=D[7];default: Y = 1'bx;endcaseelseY = 1'bx;end最后,我们将设计的模块实例化并进行仿真和综合验证。
三、四位数据比较器的设计1.设计原理四位数据比较器用于比较两个四位二进制数的大小。
其输入端包括两个四位二进制数(A、B),输出端为一个比较结果信号(OUT)。
当输入A大于B时,OUT为1;当A等于B时,OUT为0;当A小于B时,OUT为-12.设计过程本实验中,我们同样使用Verilog语言进行四位数据比较器的设计。
实验三 8选1数据选择器实验报告
![实验三 8选1数据选择器实验报告](https://img.taocdn.com/s3/m/0996c994a58da0116d174902.png)
实验三、八选一数据选择器一、实验目的:1.熟悉Quartus II6.0软件的使用和FPGA设计流程2.用VHDL语言进行八选一数据选择器的设计二、实验步骤:一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8”的文件夹。
二.建立新工程1.双击桌面上Quartus II6.0 的图标,启动该软件。
2.通过File => New Project Wizard… 菜单命令启动新项目向导。
在随后弹出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。
在下一个出现的对话框中继续点击Next,跳过这步。
3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为EP1K30TC144-3 1728 24576 ,再点击Next。
在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。
三.设计输入1.建立一个VHDL文件。
通过 File => New 菜单命令,在随后弹出的对话框中选择 VHDL File选项,点击 OK 按钮。
通过 File => Save As 命令,将其保存,并加入到项目中。
2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save As 命令保存。
四.综合适配1.选择Processing =>Start Compilation命令,检查发现无程序语法错误。
2.执行Tools =>Netlist Viewer =>RTL Viewe, 生成RTL图。
五.模拟仿真1.在 File 菜单下,点击 New 命令。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:八选一数据选择器专业名称:电子科学与技术班级:32050801学号:05********教师姓名:程鸿亮2010年11月06日组别第三组同组同学钞田田实验日期2010年11月06日实验室名称______________成绩_____ 一.实验名称八选一数据选择器二.实验目的与要求目的:设计一个8选1的数据选择器,初步掌握QuartusII软件的使用方法以及硬件编程下载的基本技能。
要求:通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。
首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。
三.实验内容1、打开QuartusII软件,建立一个新的工程:1)单击菜单File\New Project Wizard…2)输入工程的路径、工程名以及顶层实体名。
3)单击Next>按钮,进入下一个界面。
由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。
4) 设置我们的器件信息:设置为cyclone∏,选择FBGA,484,8,在available devices中选择EP2C35F484C8 33216 483840 70 4, 然后单击Next>,指定第三方工具。
这里我们不指定第三方EDA工具,单击Next>后结束工程建立。
2、建立VHDL文件:1) 单击File\New菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。
2) 在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。
3) 编译工程单击Processing\Start Compilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。
3. 建立矢量波形文件1) 单击File\New命令,在弹出的对话框中选择Other Files页面中的Vector Waveform File项,打开矢量波形文件编辑窗口。
2) 双击窗口左边空白区域,打开Insert Node or Bus对话框。
3) 单击Node Finder…按钮,打开以下对话框,选择Filter下拉列表中的Pins:all,并点击List列出所有的端口,通过>>按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加。
4) 回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。
4. 进行功能仿真1) 单击Assignments\Settings…,在弹出对话框中做相关设置。
在Simulation mode设置为Functional,即功能仿真。
指定仿真波形文件后单击OK完成设置。
2) 单击Processing\Generate Functional Simulation Netlist以获得功能仿真网络表。
3) 单击Processing\Start Simulation进入仿真页面。
此仿真中不包含延迟信息。
5. 进行时序仿真如果功能仿真无误,可进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。
1) 单击Assignments\Settings…,在弹出对话框中做相关设置。
在Simulation mode设置为Timing,即时序仿真。
指定仿真波形文件后单击OK完成设置。
2) 单击Processing\Start Simulation进入仿真页面。
如果在时序上也没有问题,就可以进入下载工作了。
6. 器件的下载1) 指定器件引脚:单击Assignments\Assignment Editor,打开引脚分配编辑框。
为每一个端口指定器件的引脚,在引脚指定过程中需要参照开发系统所给的I/O端口映射表,通过开发平台上每个I/O器件附近的I/O编号,在映射表中找到相应的引脚名,填入上图所示的对话框即可。
2) 连接下载线通过USB-blaster下载电缆连接PC机和开发平台,如果首次使用下载电缆,此时操作系统会提示安装驱动程序,此USB设备的驱动处于QuartusII安装目录中的\drivers\usb-blaster中。
3) 单击Tool\Programmer打开下载窗口。
通过对话框中的Hardware Setup按钮,选择下载设备:USB-Blaster,点击Start完成下载。
在硬件实现中,要求:I用实验平台的拨动开关实现8位输入信号(d0~d7),要求使用最右面8个开关II用实验平台的按键实现地址信号和使能信号。
采用模式0的输入方式,并使用最左边的键6~键8三个按键实现地址输入,以及键3实现使能信号。
III输出采用LED发光阵列的LED12。
四.实验条件1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五.实验原理在数字系统中,往往需要将公共数据线上的信号传送到不同单元中去,这是由数据分配器完成的。
另外,也常常需要把多个通道的信号传送到公共数据上去。
完成这一切功能的逻辑电路称为数据选择器,又叫数据开关。
它们传送的信号是0,1逻辑电平,而不能传送模拟信号。
常见的74151就是一个八选一数据选择器,它有八个数据输入端d0-d7,地址输入端a[2..0], g为使能端,高电平有效和一个数据输出端y。
当使能端g为1时,8个选通端都被截止。
当g为0时,该芯片才从8个输入端中选择一个输出。
此时,地址输入端a[2..0]从000到111之间变换时,可选择不同的通道输出,输出端y输出。
六.源代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity selection isport(d0,d1,d2,d3,d4,d5,d6,d7,g:in std_logic;a:in std_logic_vector(2 downto 0);y:out std_logic);end entity selection;architecture one of selection isbeginprocess(g,a) isbeginif g='0' theny<='0';elsecase a iswhen "000" =>y<=d0;when "001" =>y<=d1;when "010" =>y<=d2;when "011" =>y<=d3;when "100" =>y<=d4;when "101" =>y<=d5;when "110" =>y<=d6;when "111" =>y<=d7;when others=>y<='0';end case;end if;end process;end architecture one;七.实验结果与分析1、功能仿真波形2、时序仿真波形3、代码下载后的部分实例说明:键3输出高电平,数据选择器输出使能。
8位输入数据分别是d7~d0:1、0、1、0、1、0、1、0,此时地址信号a[2..0]为“101”选通d1,LED12输出(灯亮)指示d5为低电平。
说明:键3输出高电平,数据选择器输出使能。
8位输入数据分别是d7~d0:1、0、1、0、1、0、1、0,此时地址信号a[2..0]为“001”选通d1,LED12输出(灯亮)指示d1为高电平。
八.讨论和回答问题及体会:1、在进行编译的时候,开始选择的是if语句,使用了很多循环嵌套判断语句,导致了仿真波形处出现了很大的延迟。
经过对语句的不断修改,最后使用case语句,降低了延迟,达到比较好的波形仿真效果。
2、安装驱动程序,此USB设备的驱动处于QuartusII安装目录中的\drivers\usb-blaster 中。
进行USB装载连接时,由于没有正确熟练操作,导致硬件平台加载错误,无法识别硬件设备,最后在老师的指导下,按照正确操作步骤,完成硬件安装。
3、功能仿真无误后,进行时序仿真,不能成功输出时序仿真图,认真阅读操作步骤后,发现问题应该,单击Assignments\Settings,在弹出对话框中做以下设置:Simulation mode 设置为Timing,指定仿真波形文件后单击OK完成设置,再单击Processing\Start Simulation 进入仿真页面。
4、最后要通过USB-blaster下载电缆连接PC机和开发平台,由于没有在经行证书认证,导致不能下载。
在老师的指导下,在Quartus II的Tools菜单下选择License Setup,选择好认证的License文件,点击确定,最终完成了下载。
体会:这是我第一次使用GW48型SOPC开发平台,由于操作方面的陌生,使得开始进度缓慢,在老师的指导下,慢慢探索出了正确的操作方法,使进度大大增加,通过自己编程,不断编译连接过程中,也能够独立发现语法错误并加以改正,而且能够探索出更适合的语句来进行描述,使我对VHDL语言更加熟悉。
虽然在操作过程中遇到了很多问题,但也在老师的指导下顺利解决,发现不足,并且初步掌握QuartusII软件的使用方法以及硬件编程下载的基本技能。