东北大学电子实验三基本门电路及触发器

合集下载

数字逻辑实验报告:触发器及其作用

数字逻辑实验报告:触发器及其作用

数字逻辑实验报告:触发器及其作用一、实验目的1. 学习触发器的基本概念、类型及其工作原理;2. 掌握触发器的电路实现方法;3. 掌握使用触发器进行时序逻辑设计的方法。

二、实验原理触发器(Flip-flop)是数字逻辑电路中最基本的存储元件。

它可以在电路中实现数据的存储、时序的生成、状态的转移等功能。

触发器从功能上分为两大类:时序逻辑触发器和状态逻辑触发器。

时序逻辑触发器是指根据输入信号的时序变化来激发触发器输出端口状态变化的触发器,常见的有SR触发器、D触发器和JK触发器等。

状态逻辑触发器是指触发器的输出值与输入值中的某些形式的关系有关,常见的有T触发器和R-S触发器等。

此实验主要介绍SR触发器、D触发器、JK触发器的实现及其作用。

1. SR触发器SR触发器也称为RS触发器,它的英文全称是Set-Reset Flip-flop。

SR触发器的输入有两个:S、R。

当S=1,R=0时,Q输出为1;当S=0,R=1时,Q输出为0;当S=R=1时,Q的状态就不确定了。

具有这个不确定状态的原因是因为在SR触发器中,S和R是可以同时为1的,这种情况会导致电路出现失效或过度充电的问题,故SR触发器不常用。

2. D触发器D触发器是指数据存储触发器,它有一个数据输入信号D,其输出信号Q与输入信号D同步,并且保持输出信号状态不变。

当时钟信号CK上升时,D触发器将数据D储存在内部存储器中,当时钟信号CK下降时,存储器中的数据被保持不变。

D触发器还具有一个反相输出信号Q',它与输出信号Q恰好相反。

3. JK触发器JK触发器是指一种利用J和K两个输入信号来控制输出状态的电路。

当J=K=0时,JK触发器不动;当J=1,K=0时,JK触发器转换到置“1”状态;当J=0,K=1时,JK触发器转换到复位“0”状态;当J=K=1时,JK触发器的状态与上一状态相反。

这里需要注意的是,当J=K=1时,JK触发器可以作为一个数字计数器或频率分带器使用。

东北大学电子实验三基本门电路及触发器

东北大学电子实验三基本门电路及触发器

J CPK S DR DQ QS D R DD CP Q Q 43121556423156实验三:基本门电路及触发器实 验 室:信息学馆347 实验台号: 27 日 期: 2015.11.12专业班级: 机械130班 姓 名: 学 号: 2013309一、 实验目的1.了解TTL 门电路的原理、性能和使用方法,验证基本门电路逻辑功能。

2. 掌握门电路的设计方法。

3.验证J-K 触发器的逻辑功能。

4.掌握触发器转换的设计方法。

二、实验内容(一)验证以下门电路的逻辑关系1. 用与非门(00)实现与门逻辑关系:F=AB2. 异或门(86):(二):门电路的设计(二选一)1.用74LS00和74LS86 设计半加器.2.用TTL 与非门设计一个三人表决电路。

A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。

(1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。

(三)验证JK 触发器的逻辑关系1.J-K 触发器置位端、复位端及功能测试。

图3-1 JK 触发器(74LS112)和D 触发器(74LS74)2、设计J-K 触发器转化成D 触发器的电路利用与非门和J-K 触发器设计并测试逻辑功能。

B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+='n n n B A C ='&A B &F三、实验原理图图3-2与门电路 图3-3异或门电路图3-4半加器四、实验结果及数据处理1. 直接在实验原理图上标记芯片的引脚。

2. 写出实验结果。

(1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。

)输入 与门 异或门A B F U o (V ) F0 0 0 0.166 0 0 1 0 0.165 1 1 0 0 0.165 1 1 1 1 3.346 0=1AB F(2)半加器实验结果(3) 表决电路结果(4)表决电路图(可以拍照图):(5)J-K 触发器的功能测试A nB n n S 'nC ' 0 00 1 1 0 1 1A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 11输入端输出原态 输出次态D R -D S -J K Q n Q n+1 0 1 * * * 1 1 0 * * * 0 1 1 0 0 0 0 1 1 0 1 0 0 1 1 1 0 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 0 1 1 0 1 1 1 0 1 1 11111(6)设计J-K 触发器转化成D 触发器的电路(可以拍照图),验证电路的正确性。

门电路逻辑功能及测试实验报告总结

门电路逻辑功能及测试实验报告总结

门电路逻辑功能及测试实验报告总结
门电路是数字电路中最基本的逻辑电路之一,其主要功能是实现逻辑运算。

本次实验旨在探究门电路的逻辑功能及测试方法。

实验一:与门电路
与门电路是一种逻辑电路,其输出信号仅在所有输入信号均为高电平时才为高电平。

实验中,我们使用了CD4081芯片,通过连接输入端和输出端,观察输出信号的变化,验证了与门电路的逻辑功能。

实验二:或门电路
或门电路是一种逻辑电路,其输出信号在任意一个输入信号为高电平时即为高电平。

实验中,我们使用了CD4071芯片,通过连接输入端和输出端,观察输出信号的变化,验证了或门电路的逻辑功能。

实验三:非门电路
非门电路是一种逻辑电路,其输出信号与输入信号相反。

实验中,我们使用了CD4069芯片,通过连接输入端和输出端,观察输出信号的变化,验证了非门电路的逻辑功能。

实验四:与非门电路
与非门电路是一种逻辑电路,其输出信号仅在所有输入信号均为高电平时才为低电平。

实验中,我们使用了CD4081芯片,通过连接输入端和输出端,观察输出信号的变化,验证了与非门电路的逻辑功能。

实验五:或非门电路
或非门电路是一种逻辑电路,其输出信号在任意一个输入信号为高电平时即为低电平。

实验中,我们使用了CD4071芯片,通过连接输入端和输出端,观察输出信号的变化,验证了或非门电路的逻辑功能。

通过以上实验,我们深入了解了门电路的逻辑功能及测试方法。

在实验中,我们使用了数字电路实验箱和相应的芯片,通过连接输入端和输出端,观察输出信号的变化,验证了门电路的逻辑功能。

这些实验不仅加深了我们对门电路的理解,也提高了我们的实验技能。

基本门电路的逻辑功能测试实验报告

基本门电路的逻辑功能测试实验报告

基本门电路的逻辑功能测试实验报告一、实验目的本实验旨在通过对基本门电路进行逻辑功能测试,掌握基本门电路的逻辑功能及其工作原理。

二、实验器材1.数字电路实验箱2.直流稳压电源3.数字万用表三、实验原理基本门电路是数字电路中最基本的逻辑元件,包括与门、或门、非门等。

它们分别对应着布尔代数中的“与”、“或”、“非”运算。

在数字电路中,这些基本门可以组合成更复杂的逻辑运算,如异或、同或等。

四、实验步骤1.连接与门电路:将两个输入端分别连接到数字电路实验箱上的两个开关上,将输出端连接到数字万用表上。

2.打开第一个开关,记录输出结果。

3.关闭第一个开关,打开第二个开关,记录输出结果。

4.打开两个开关,记录输出结果。

5.重复以上步骤,连接或门和非门电路进行测试。

五、实验结果及分析1.与门电路测试:当两个输入都为高电平时(即两个开关都打开),输出为高电平;当有一个或两个输入为低电平时(即有一个或两个开关关闭),输出为低电平。

这符合与运算的规律。

2.或门电路测试:当两个输入都为低电平时(即两个开关都关闭),输出为低电平;当有一个或两个输入为高电平时(即有一个或两个开关打开),输出为高电平。

这符合或运算的规律。

3.非门电路测试:当输入为高电平时(即开关打开),输出为低电平;当输入为低电平时(即开关关闭),输出为高电平。

这符合非运算的规律。

六、实验结论通过对基本门电路进行逻辑功能测试,我们掌握了与门、或门、非门的逻辑功能及其工作原理。

在数字电路中,这些基本门可以组合成更复杂的逻辑运算,如异或、同或等。

掌握了基本门的工作原理之后,我们可以更好地理解和设计数字电路。

七、实验注意事项1.在连接实验箱之前,确认所有器材已经通电并处于正常工作状态。

2.在进行实验前,检查所有连接是否正确,并确保没有短路情况发生。

3.在进行实验过程中,注意安全操作,避免触碰到带电部分。

数字电路实验报告-触发器的基本逻辑功能

数字电路实验报告-触发器的基本逻辑功能

电学实验报告模板实验原理1.触发器的触发方式(1)电平触发方式电平触发方式的特点是:时,输出与输入之间通道“透明”,输入信号的任何变化都能引起输出状态的变化。

当时,输入信号被封锁,输出不受输入影响,保持不变。

(2)边沿触发方式边沿触发方式的特点是:仅在时钟CP信号的上升沿或下降沿才对输入信号响应。

触发器的次态仅取决于时钟CP信号的上升沿或下降沿到达时输入端的逻辑状态,而在这以前或以后,输入信号的变化对触发器输出端状态没有影响。

2.边沿JK触发器图1 下升沿触发JK触发器逻辑符号图1所示为下降沿触发JK触发器的逻辑符号。

下降沿JK触发器的特性表如表1所示。

表1 下降沿JK触发器特性表JK触发器的特性方程为:实验仪器(1)74LS112引脚图图2 74LS112引脚图图2所示为集成电路芯片74LS112的引脚图。

芯片包含两个带有异步置位复位端的下降沿JK触发器。

(2)测试74LS112的逻辑功能图3 测试74LS112的逻辑功能实验电路按照图3连接电路。

JK触发器的Q和(芯片5和6号引脚)各接一个发光二极管用以观察触发器的输出逻辑电平。

第1步:置,则,。

置,CP输入单次脉冲,Q和不变。

改变 J或K ,再次使 CP输入单次脉冲,Q和仍不变。

第2步:置,则,。

重复第1步的过程。

第3步:置。

置, , CP输入单次脉冲,Q和不变。

置, , CP输入单次脉冲,,。

置, , CP输入单次脉冲,,。

置, , CP输入单次脉冲,Q和均翻转。

CP再次输入单次脉冲,Q和均再翻转。

将实验数据记录在表2。

表2 74LS112的逻辑功能实验记录表实验结果及分析。

常用门电路实验报告

常用门电路实验报告

一、实验目的1. 理解并掌握常用逻辑门电路(与门、或门、非门、异或门)的工作原理和逻辑功能。

2. 学习使用逻辑门电路实现基本的逻辑功能。

3. 了解逻辑门电路在数字电路中的应用。

二、实验原理逻辑门电路是数字电路的基本单元,它根据输入信号的逻辑关系产生相应的输出信号。

常用的逻辑门电路包括与门、或门、非门、异或门等。

1. 与门:当所有输入信号都为高电平时,输出信号才为高电平,否则输出信号为低电平。

2. 或门:当至少有一个输入信号为高电平时,输出信号为高电平,否则输出信号为低电平。

3. 非门:对输入信号进行逻辑非操作,即输入信号为高电平时输出信号为低电平,输入信号为低电平时输出信号为高电平。

4. 异或门:当输入信号不同时,输出信号为高电平,输入信号相同时,输出信号为低电平。

三、实验仪器与器材1. 逻辑门电路实验箱2. 示波器3. 逻辑电平开关4. 逻辑电平指示灯5. 逻辑测试笔6. 逻辑测试电路板四、实验内容1. 与门实验- 按照实验箱上的电路图连接与门电路。

- 使用逻辑电平开关输入不同的信号,观察输出信号的变化,记录实验数据。

- 分析实验数据,验证与门的工作原理。

2. 或门实验- 按照实验箱上的电路图连接或门电路。

- 使用逻辑电平开关输入不同的信号,观察输出信号的变化,记录实验数据。

- 分析实验数据,验证或门的工作原理。

3. 非门实验- 按照实验箱上的电路图连接非门电路。

- 使用逻辑电平开关输入不同的信号,观察输出信号的变化,记录实验数据。

- 分析实验数据,验证非门的工作原理。

4. 异或门实验- 按照实验箱上的电路图连接异或门电路。

- 使用逻辑电平开关输入不同的信号,观察输出信号的变化,记录实验数据。

- 分析实验数据,验证异或门的工作原理。

5. 逻辑门电路组合实验- 利用与门、或门、非门、异或门等逻辑门电路,设计并实现一个简单的逻辑功能电路。

- 分析电路设计原理,验证电路功能。

五、实验结果与分析1. 与门实验结果:当所有输入信号都为高电平时,输出信号为高电平,否则输出信号为低电平,符合与门的工作原理。

数电实验报告东大

数电实验报告东大

一、实验目的1. 理解数字电路的基本组成和基本原理。

2. 掌握常用数字电路的分析和设计方法。

3. 提高动手实践能力,加深对数字电路理论知识的理解。

二、实验内容本次实验主要包含以下内容:1. 数字电路基础实验2. 组合逻辑电路实验3. 时序逻辑电路实验三、实验仪器与设备1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 计算器5. 实验指导书四、实验原理1. 数字电路基础实验:通过实验了解数字电路的基本组成和基本原理,包括逻辑门、编码器、译码器等。

2. 组合逻辑电路实验:通过实验掌握组合逻辑电路的分析和设计方法,包括加法器、编码器、译码器、数据选择器等。

3. 时序逻辑电路实验:通过实验掌握时序逻辑电路的分析和设计方法,包括触发器、计数器、寄存器等。

五、实验步骤1. 数字电路基础实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行逻辑门、编码器、译码器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

2. 组合逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行加法器、编码器、译码器、数据选择器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

3. 时序逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行触发器、计数器、寄存器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

六、实验结果与分析1. 数字电路基础实验- 通过实验,验证了逻辑门、编码器、译码器等电路的基本原理和功能。

- 实验结果符合理论预期,验证了数字电路的基本组成和基本原理。

2. 组合逻辑电路实验- 通过实验,掌握了组合逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了组合逻辑电路的基本原理。

3. 时序逻辑电路实验- 通过实验,掌握了时序逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了时序逻辑电路的基本原理。

《门电路和触发器》课件

《门电路和触发器》课件
门电路是实现逻辑运算的基本单元,如与门、或门、非门等,它们 可以组合起来实现复杂的逻辑功能。
组合逻辑电路
利用门电路可以构建各种组合逻辑电路,如编码器、译码器、比较 器等,这些电路在计算机、通信、控制等领域有广泛应用。
数字系统
门电路是构成数字系统的基本元件,如计算机中的CPU、内存、总线 等都离不开门电路的应用。
它由逻辑门电路组成,具有两个稳定 状态,可以在外部信号的作用下进行 状态的翻转。
触发器的分类
根据逻辑功能的不同,触发器可以分 为RS触发器、D触发器、JK触发器和 T触发器等类型。
根据电路结构的不同,触发器可以分 为基本型触发器和钟控型触发器两类 。
触发器的作用
触发器可以作为存储 元件,用于存储二进 制信号状态。
在时钟脉冲的上升沿或 下降沿到来时,D触发 器的输出状态会根据输 入信号D的状态发生改 变。
特点
D触发器具有存储数据 的功能,因此在寄存器 和计数器等数字电路中 得到广泛应用。
JK触发器
功能描述
JK触发器也称为双输入边沿触发 器,具有置位、复位、翻转和非 翻转四个工作状态。当J和K输入 端都为0时,输出端为0;当J和K 输入端都为1时,输出端为1;当J 和K输入端分别为0和1时,输出 端状态会发生翻转。
02 当输入信号为高电平时,输出信号为低电平;当 输入信号为低电平时,输出信号为高电平。
03 NOT门电路常用于实现信号的反向传输和存储等 功能。
NAND门电路
01
NAND门电路是一种逻辑门电路,其功能是实现逻辑
与非运算。
02
当输入信号全部为高电平时,输出信号为低电平;否
则,输出信号为高电平。
03
工作原理
当置位或复位信号来到时,触发器会根据输入信号的状态改变输出状态,并保持不变直到 另一个信号到来。

东北大学计算机组成原理实验报告

东北大学计算机组成原理实验报告
寄存器MAR原理图
寄存器ST原理图
寄存器OUT原理图
(二)寄存器组
寄存器组R原理图
74HC139含有两个独立的2—4译码器,其引脚与内部逻辑、功能表见实验指导书。
(三)数据输出实验
COP2000实验仪中有7个寄存器可以向DBUS输出数据,但在某一特定时刻只能有一个寄存器输出数据。由X0、X1、X2控制信号决定那一个寄存器向数据总线输出数据,而这三个控制信号为74HC138译码器的三个选择输入端。74HC138用于选片。
程序计数器原理图
当指令正常执行时,程序计数器完成加1操作;当执行转移指令时,74HC161用预置数功能,从数据总线接收要跳转的地址。当RES=0时,PC计数器被清0。当PC+1=1时,在CK的上升沿,PC计数器加一;当LDPC=0时,在CK的上升沿,预置数据被打入PC计数器;当PCOE=0时,PC值送地址总线。
写入R3:(59h)
置数据:
K23
K22
K21
K20
K19
K18
K17
K16
0
1
0
1
1
0
0
1
置控制信号:
K11(RRD)
K10(RWR)
K1(SB)
K0(SA)
1
0
1
1
给出CLOCK脉冲上升沿。
(2) R?的读出
自己设置RRD、RWR、SB及SA信号,观察R?的红色指示灯及液晶显示内容。
读R0:
置控制信号:
K21
K20
K19
K18
K17
K16
0
1
0
1
0
1
0
1
置控制信号:

触发器实验报告

触发器实验报告

触发器实验报告一、实验目的本次实验的主要目的是深入理解触发器的工作原理和功能,通过实际操作和观察,掌握触发器在数字电路中的应用,以及其对信号的存储和转换作用。

二、实验原理触发器是一种具有记忆功能的基本逻辑单元,能够在时钟脉冲的作用下存储二进制数据。

常见的触发器类型包括 D 触发器、JK 触发器和SR 触发器等。

D 触发器在时钟脉冲的上升沿(或下降沿)将输入的数据传送到输出端。

JK 触发器则根据输入的J、K 信号和时钟脉冲来改变输出状态。

SR 触发器则由置位(S)和复位(R)信号控制输出。

三、实验设备与材料1、数字电路实验箱2、示波器3、集成电路芯片(如 74LS74、74LS112 等)4、导线若干四、实验步骤1、 D 触发器实验将 74LS74 芯片插入实验箱的插槽中。

按照芯片引脚图连接电路,将 D 输入端连接到逻辑电平开关,时钟输入端连接到脉冲信号源,输出端 Q 和 Q'连接到发光二极管。

改变D 输入端的电平,观察在时钟脉冲作用下输出端的状态变化。

2、 JK 触发器实验插入 74LS112 芯片。

连接电路,将 J、K 输入端连接到逻辑电平开关,时钟输入端连接到脉冲信号源,输出端连接到发光二极管。

改变 J、K 输入端的电平组合,观察在时钟脉冲作用下输出端的状态变化。

3、 SR 触发器实验选取合适的 SR 触发器芯片,如 74LS279。

按照引脚图连接电路,将 S、R 输入端连接到逻辑电平开关,输出端连接到发光二极管。

改变 S、R 输入端的电平,观察输出端的状态变化。

五、实验结果与分析1、 D 触发器当 D 输入端为高电平时,在时钟脉冲的上升沿,输出端 Q 变为高电平,Q'变为低电平;当D 输入端为低电平时,在时钟脉冲的上升沿,输出端 Q 变为低电平,Q'变为高电平。

这表明 D 触发器能够在时钟脉冲的控制下,将输入的数据准确地传输到输出端。

2、 JK 触发器当 J=1,K=0 时,在时钟脉冲的作用下,输出端 Q 置位为高电平;当 J=0,K=1 时,输出端 Q 复位为低电平;当 J=K=0 时,输出端保持原状态不变;当 J=K=1 时,输出端在时钟脉冲作用下翻转。

基本逻辑门和逻辑电路实验报告

基本逻辑门和逻辑电路实验报告

基本逻辑门和逻辑电路实验报告本实验探究了基本的逻辑门和逻辑电路,主要包括三种逻辑门:与门、或门、非门,以及它们的组合电路。

通过实验,我们能够了解逻辑门的基本原理和实际应用,掌握逻辑电路的设计方法和调试技巧。

实验一、与门1.实验原理与门是一种逻辑电路,当两个输入信号同时为高电平时,输出为高电平;否则输出为低电平。

一个与门可以表示为Y = A ∧ B,其中A和B是输入信号,Y是输出信号。

2.实验材料与门芯片、LED灯、电阻、开关、面包板、电源线。

3.实验步骤1)将一个与门芯片插入面包板中,并用电源线接通电源。

2)将两个开关连接到与门芯片的输入端A和B上。

4)按下两个开关中的任意一个,观察LED灯的亮灭情况。

4.实验结果当A和B都为高电平时,LED灯亮起。

当A和B任意一个或两个都为低电平时,LED灯熄灭。

5.实验分析通过实验我们可以了解到,与门的工作原理是当两个输入信号同时为高电平时,输出才为高电平。

这种逻辑电路常用于判断两个或多个条件是否同时成立,例如电灯控制、计数器和时序电路等方面。

3)将一个电阻和一个LED灯连接到或门芯片的输出端Y上。

5)松开开关,再次观察LED灯的反应。

通过实验我们可以了解到,非门的工作原理是当一个输入信号为高电平时,输出为低电平;反之当一个输入信号为低电平时,输出为高电平。

这种逻辑电路常用于信号的反相处理,例如数字电视信号中由于信号的钳制等原因而需要反相去钳等情况。

实验总结通过本次逻辑门和逻辑电路实验,我们了解了三种逻辑门:与门、或门和非门,以及它们的组合电路。

这些逻辑电路是实现各种数字控制任务的基本模块,它们在计算机、通信、消费电子、工业控制等领域中都有广泛的应用。

在实验过程中,我们学习了如何正确使用面包板和焊接开关、电阻、LED等元件,掌握了逻辑电路的设计方法和调试技巧。

这些经验和技能有助于我们更深入的了解数字电路,提高我们的实验技能和创新能力。

触发器实验报告

触发器实验报告

触发器实验报告实验目的:本实验旨在设计和实现一个简单的触发器电路,触发器能够在输入信号满足特定条件时切换输出状态。

实验原理:触发器是由逻辑门组成的电路,输入信号作为触发器的控制信号,当输入信号满足特定条件时触发器切换输出状态。

常见的触发器有RS触发器、JK触发器、D触发器等。

本实验使用RS触发器作为示例。

RS触发器是由两个交叉连接的反馈AND门和非门组成。

输入引脚R和S用于控制RS触发器的切换状态。

当输入信号R=0,S=1时,触发器置位,输出Q=1;当输入信号R=1,S=0时,触发器复位,输出Q=0;当输入信号R=0,S=0时,触发器保持当前状态。

实验材料:- 7404反相器芯片- 与门芯片- LED灯- 电阻- 杜邦线实验步骤:1. 根据实验原理,搭建RS触发器电路。

使用7404芯片作为反相器,使用与门芯片作为交叉连接的反馈AND门和非门。

2. 将反相器的输入端和与门的输入端连接,形成交叉连接。

3. 将R和S输入信号引脚接到对应的输入开关上,将Q输出引脚接到LED灯。

4. 打开电源,通过调节R和S输入信号的开关,观察LED灯的亮灭变化。

实验结果:根据输入信号R和S的不同组合,可以观察到LED灯的亮灭变化。

当输入信号R=0,S=1时,LED灯亮;当输入信号R=1,S=0时,LED灯灭;当输入信号R=0,S=0时,LED灯保持当前状态。

实验结论:通过搭建RS触发器电路,成功实现了一个简单的触发器。

触发器能够根据输入信号的不同组合,切换输出状态。

触发器在电子电路中有广泛应用,常用于存储和传输信息。

东北大学 通用阵列逻辑GAL实验

东北大学 通用阵列逻辑GAL实验

通用阵列逻辑GAL实验班级:自动化061班姓名:王妃学号:20060000一、实验目的:1)了解ispGAL22V10C的结构及其应用。

2)学会使用VHDL语言进行GAL器件的逻辑设计。

3)掌握GAL器件的编程、仿真、下载、验证等全部过程。

二、实验内容:1)用ispGAL22V10C器件实现基本门电路。

2)用ispGAL22V10C器件实现各种触发器。

3)用ispGAL22V10C器件实现100进制计数器。

三、实验报告要求:1)画出ispGAL22V10C器件实现基本逻辑门的引脚图。

2)简述用PLA模型设计的N位二进制计数器的原理。

用PLA模型设计任意n进制计数器是在N位二进制计数器设计基础上进行的,且满足2N>n。

若设计n进制的计数器,当n进制计数器计数到n-1时,清零译码门输出低电平且反馈到输入控制门,第n个计数脉冲到来后,N位D触发器全部清零,完成一个计数周期。

3)写出VHDL语言编写的源程序。

4)附上各实验的仿真波形。

非门电路程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity testGAL1 isport(a,b,c,d,e,m,n,p,q,h,i,j:in std_logic;u1,v,w,x0,y0,z0:out std_logic);end;architecture testGAL1_architecture of testGAL1 is beginu1<=not a;v<=b and c;w<=d or e;x0<=not(m or n);y0<=not(p xor q);z0<=not(h and i and j);end testGAL1_architecture;触发器程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cfq isport(clk: in std_logic ;s: in std_logic ;r: in std_logic ;pr: in std_logic ;t: in std_logic ;d: in std_logic ;j: in std_logic ;k: in std_logic ;clr: in std_logic ;qs1: out std_logic ;qt1: out std_logic ;qd1: out std_logic ;qj1: out std_logic;qs0: out std_logic ;qt0: out std_logic ;qd0: out std_logic ;qj0: out std_logic );end;architecture cfq_architecture of cfq is beginprocess(clk,pr,clr)beginif( clk='1' and clk'event) thenif (pr='1') thenqs1<='1';qt1<='1';qd1<='1';qj1<='1';qs0<='0';qt0<='0';qd0<='0';qj0<='0';elsif (clr='1') thenqt1<='0';qs1<='0';qd1<='0';qj1<='0';qs0<='1';qt0<='1';qd0<='1';qj0<='1';elseqt1<=t xor qt1;qt0<=not(t xor qt1);qd1<=d;qd0<=not d;if(s='0')and(r='1') thenqs1<='0';qs0<='1';elsif (s='1') thenqs1<='1';qs0<='0';end if;if(j='0')and(k='1') thenqj1<='0';qj0<='1';elsif (j='1')and(k='0') thenqj1<='1';qj0<='0';elsif(j='1')and(k='1') thenqj1<=not qj1;qj0<=not qj0;end if;end if;end if;end process;end cfq_architecture;100进制计数器程序library IEEE;use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Counter100 isport(clk,clr:in std_logic;q0,q1,q2,q3,q4,q5,q6:out std_logic);end;architecture arch_Counter100 of Counter100 is signal q: std_logic_vector(6 downto 0);beginq6<=q(6);q5<=q(5);q4<=q(4);q3<=q(3);q2<=q(2);q1<=q(1);q0<=q(0);process(clk)beginif clr='1' then q<="0000000";elsif clk='1' and clk'event thenif q="1100011" then q<="0000000";elseq<=q+’1’;end if;end if;end process;end arch_Counter1005)解答相关思考题。

实验01基本逻辑门电路实验

实验01基本逻辑门电路实验

实验01基本逻辑门电路实验第一篇:实验01 基本逻辑门电路实验实验一基本逻辑门实验(1)一、实验目的1、通过实验学习掌握Quartus II软件的基本操作流程。

2、通过实验理解全加器电路的设计方法,并掌握在Quartus II软件中通过绘制电路图的形式进行芯片设计的过程。

3、学习Quartus II软件的“仿真”功能。

二、实验步骤1、在“我的电脑”中新建一个目录。

(注意:目录尽量建立在自带的U盘上,以防实验工程被还原)2、打开QuartusII软件,点击菜单中的“File->New Project Wizard”选项,启动新建工程向导程序,新建一个Quartus II工程。

工程文件保存在第1步创建的目录中,工程命名为:“Exp01”。

图1 新建工程向导启动图2 向导开始直接点击“Next”按钮图3 向导第1步,设置工程的路径和工程名向导第2步的设置是向新建工程中导入已经存在的设计文件,这里不用导入所以直接点击“Next”按钮跳过这一步。

向导第3步选择FPGA芯片,这里要按照实验箱上的芯片型号选择:Family选择“Cyclone II”,Available devices选择“EP2C5T144C8”,其它地方保持默认选择。

图4 向导第3步设置工程用芯片向导程序第4、5步不用做设置,直接点击完成按钮就可以完成工程的建立了。

图5 工程建立完成,Project Navigator出现工程列表3、点击菜单“File->New”选项,打开新建文件窗口,选择“Design Files->Block Diagram/Schematic File”,再点击“OK”按钮,创建一个电路图设计文件。

图6 新建文件窗口4、点击菜单“File->Save As”选项,将新建的电路图设计文件保存在工程目录中,注意:文件名要与工程名保持一致:Exp01.bdf。

图7 新建文件保存图8 文件名与工程名保持一致5、点击设计文件窗口上的“Symbol T ool”工具按钮,如图所示:中输入“xor”异或门,单击“OK”按钮。

实验三 逻辑门与加法器、译码显示电路

实验三 逻辑门与加法器、译码显示电路
&
Si Ai Bi Ci
Ci 1 Ai Bi Ci Ai Bi
&
& =1
=1
Ai
Bi
Ci
四、实验内容与步骤
全加器的功能表 Ai Bi Ci Si Ci+1 74LS00
0 0 0 0 1 1 1 1
0 0 1 1 0 0 1 1
0 1 0 1 0 1 0 1
0 1 1 0 1 0 0 1
9
15 14
a b c d e f g
8
数码管
译码器
注意:C0 和C4 的状态
74LS83
五、实验仪器、设备与器件
1. 电子技术综合实验箱; 2. 集成电路: 4位二进制全加器74LS83管脚图 74LS00 74LS02 1 16 A4 B4 2 15 Z3 Z4 74LS51 74LS83 14 3 A3 C4 4 13 B3 C0 74LS86 5 12 3. 共阴极LED数码管。
四、实验内容与步骤
(2)用与非门实现逻辑函数
①设计出用74LS00实现表 2逻辑功能的逻辑图。 ②在实验仪上验证设计出 的逻辑功能。 将输入端接逻辑开关,输 出端接发光二极管,通过 发光二极管的状态观察输 出状态。
A 0 0 0 0 1 1 1 1
表2 数据表
B 0 0 1 1 0 0 1 1
C 0 1 0 1 0 1 0 1
二、设计任务与要求
2.扩展设计任务与要求
⑴用异或门74LS86设计一个四位二进制数取反电 路。要求画出逻辑电路,列出功能表,并通过实 验验证。 ⑵用Multisim 7仿真设计一个8421码加法器。注 意在满十时即进位。画出逻辑图,列出元件清单。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验三:基本门电路及触发器
实 验 室:信息学馆347 实验台号: 27 日 期:
专业班级: 机械130班 姓 名: 学 号: 2013309
一、
实验目的
1.了解TTL 门电路的原理、性能和使用方法,验证基本门电路逻辑功能。

2. 掌握门电路的设计方法。

3.验证J-K 触发器的逻辑功能。

4.掌握触发器转换的设计方法。

二、实验内容
(一)验证以下门电路的逻辑关系
1. 用与非门(00)实现与门逻辑关系:F=AB
2. 异或门(86):
(二):门电路的设计(二选一)
1.用74LS00和74LS86 设计半加器.
2.用TTL 与非门设计一个三人表决电路。

A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。

(1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。

B
A B A B A F ⊕=+=n
n n n n n n B A B A B A S ⊕=+='n
n n B A C ='
&A B &
F
J CP K S D R D
Q
Q
S D R D
D CP Q Q 431215
5
6
42315
6
(三)验证JK 触发器的逻辑关系
1.J-K 触发器置位端、复位端及功能测试。

图3-1 JK 触发器(74LS112)和D 触发器(74LS74)
2、设计J-K 触发器转化成D 触发器的电路
利用与非门和J-K 触发器设计并测试逻辑功能。

三、实验原理图
图3-2与门电路 图3-3异或门电路
图3-4半加器
四、实验结果及数据处理
1. 直接在实验原理图上标记芯片的引脚。

=1
A B
F
2.写出实验结果。

(1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。


输入与门异或门
A B F Uo(V)F
0000
0101
1001
1110
(2)半加器实验结果
An Bn
S'n C'
n
00
(3) 表决电路结果
(4)表
决电路图(可以拍照
图):
(5)J-K 触发器的功能测试
0 1 1 0 1
1
A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1
1
1
1
(6)设计J-K触发器转化成D触发器的电路(可以拍照图),验证电路的正确性。

五、思考题
1.实验用的与非门和或门中不用的输入端如何处理
答:实验用的与非门中不用的输入端长接高电位“1”端处理。

或门中不用的输入端长接高电位“0”端处理。

2.如果与非门的一个输入端接时钟,其余输入端应是什么状态时才允许脉冲通过
答:如果与非门的一个输入端接时钟,其余输入端应是1状态时才允许脉冲通过。

3.J-K触发器Qn=0时,如果时钟脉冲CP到来后,触发器处于“1”态,J-K两端应预先分别是什么状态
答:J、K端都置1;或J置1,K置0 皆可时钟脉冲CP到来后,触发器处于“1”态。

4.J-K触发器与D触发器的触发边沿有何不同
答:J-K触发器由脉冲下降沿(1?0)触发,D触发器由脉冲上升沿(0?1)触发。

相关文档
最新文档