最新出租车计价器系统设计任务书
出租车计价器系统设计
出租车计价器系统设计一、引言二、系统需求1.实时计算乘客的费用,包括起步价、里程费和时间费。
2.支持现金和电子支付两种支付方式。
3.集成GPS导航功能,方便司机找到目的地。
4.提供乘客和司机的行程记录,以便后续查询和管理。
5.具备车辆运营数据统计和分析功能,方便管理者监控业务运营情况。
6.界面友好,操作简单方便。
三、系统设计1.架构设计出租车计价器系统可以采用分布式架构,主要由计价器终端设备、服务器和后台管理系统组成。
计价器终端设备负责实时计算费用,接收用户支付信息并提供导航功能。
服务器将终端设备上的数据上传到后台管理系统,并处理支付信息。
后台管理系统负责行程记录的存储和查询,车辆运营数据统计等功能。
2.功能设计计价器终端设备的主要功能包括:-实时显示乘客的费用,包括起步费、里程费和时间费。
-支持现金和电子支付两种支付方式。
对于现金支付,可以提供找零功能。
-集成GPS导航功能,显示乘客的目的地并为司机提供导航指引。
-提供乘客和司机的行程记录,包括乘车地点、目的地、起步时间、到达时间等信息。
后台管理系统的主要功能包括:-存储和查询行程记录,方便乘客和司机进行查询,也方便管理者进行统计和分析。
-处理支付信息,包括验证支付的有效性和进行支付结果的记录。
-根据行程记录和支付信息生成报表,进行车辆运营数据的统计和分析。
3.数据库设计系统需要至少设计以下几个数据库表:-行程记录表,包含乘车地点、目的地、起步时间、到达时间等信息。
-支付记录表,包含支付方式、支付金额、支付结果等信息。
-车辆表,包含车辆的基本信息,如车牌号、品牌、颜色等。
-用户表,包含乘客的基本信息,如姓名、手机号等。
四、系统流程1.乘客叫车并上车后,司机启动计价器终端设备。
2.计价器显示乘客的起步费和当前费用,并启动计时和记录起步时间。
3.计价器终端设备显示乘客的目的地,并提供导航指引。
4.司机按照导航指引将乘客送到目的地。
5.到达目的地后,计价器停止计时,显示乘客的总费用。
出租车计价器的设计
电子技术课程设计题目:出租车计价器的设计姓名:周涛专业:自动化班级: 自动化2132学号: _____________课程任务书1.设计题目:出租车计价器的设计设计一个出租车计价器2. 功能描述:3.设计要求:①假设车轮每转一周产生一个脉冲,每个脉冲对应的行驶距离为1 米,当行驶距离小于等于4 公里的时候,计价器只显示起步价8 元;②当行驶距离超过4 公里时,每一公里收费2 元。
③计价器显示当前价格,显示一位小数位、八、亠前言汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。
它关系着交易双方的利益。
具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很有必要的。
因此,汽车计价器的研究也是十分有个应用价值的。
我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。
随着改革开放日益深入,出租车行业的发展势头己十分突出,国内各机械厂家纷纷推出国产计价器。
出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打发票和语音提示,按时间自主变动单价等功能。
随着城市旅游业的发展,出租车行业己成为城市的窗口,象征着一个城市的文明程度。
随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乖客来说都是很必要的。
目录 15、尸■ 、 ■前言 第一章出租车计价器设计的系统概述1.1、 课程设计阐述 (4)1.2、 总体功能框图 (5)第二章 出租车计价器设计工作原理2.174LS160工作原理 ........................................... 6 2.2 74LS85工作原理 .. (7)第三章 出租车计价器 的单元电路设计3.1行驶里程计数模块 (8)3.2总计价计数模块 ........................................................... 9 33起步价模块 (10)3.4、总电路图 (11)第四章课程设计总结 (12)参考文献 (13)附录一 (14)附录二 第一章出租车计价器设计的系统概述1.1课程设计阐述出租车计价器是乘客与司机双方的交易准则, 关系着交易双方的 利益,是出租车中最重要的工具。
出租车计价器课程设计任务书
课题:出租车计价器一、预备知识(1)SPCE061A 端口设置方法(2)SPCE061A 定时器使用方法(3)SPCE061A 内部Flash 的读写方法(4)凌阳单片机汇编语言或C 语言编写基础(5)LED 数码管的显示原理(6)微型打印机的使用方法二、课程设计目的单片机学习不仅仅需要掌握单片机本身的用法,其外围器件:显示界面如LED 数码管,发光二极管、液晶等,控制器件如键盘等都是需要掌握和灵活应用的。
在计程器设计题目中还涉及到了微型打印机设备。
本课程设计以凌阳单片机系统板-61 板为基础进行设计开发,其基本要求是:1.熟悉单片机系统板基本构成;2.掌握LED 数码管显示原理及使用方法;3.掌握单片机系统板的使用方法;4.初步掌握单片机汇编语言一般编程技巧;5.初步掌握单片机系统调试的一般步骤及方法;6.掌握微型打印机的控制方法。
三、课程设计任务设计要求:利用SPCE061A单片机配合SPLC501液晶模组,实现基本的出租车计价器功能。
具体规格如下:1. 里程计量a) 单片机对传感器脉冲进行计数(传感器脉冲使用SPCE061A内部PWM输出模拟),并将脉冲数换算成公里数;b) 每公里对应的脉冲数PulsePerKM可以调整。
2. 费用计算a) 费用计算包括两部分:行驶费用和低速等待费用;b) 行驶费用计算如下:白天行驶里程不足StartMilege(三公里)时,费用按照起步价StartPrice(¥10.0元)计算;当超出StartMilege时,行驶费用=StartPrice+(行驶里程-StartMilege)×每公里的单价;夜晚行驶里程不足StartMilege时,费用按照起步价NightStartPrice(¥11.0元)计算;当超出StartMilege时,行驶费用=NightStartPrice+(行驶里程-StartMilete)×每公里的单价;c) 每公里的单价根据时间区分为白天和黑夜两种价格。
任务书及报告模板--出租车简易计费器设计VHDL语言
课程设计报告书题目出租车简易计费器设计课程设计任务书课题题目摘要----------------jifei---------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jifei isport ( clk,rst,en,en1:in std_logic;lc0,lc1,lc2,lc3:out std_logic_vector(3 downto 0);jj0,jj1,jj2,jj3:out std_logic_vector(3 downto 0));end jifei;architecture lab10_4 of jifei issignal Q00:std_logic_VECTOR(3 DOWNTO 0);--jifei0 signal Q01:std_logic_VECTOR(3 DOWNTO 0);--jifei1 signal Q02:std_logic_VECTOR(3 DOWNTO 0);--jifei2 signal Q03:std_logic_VECTOR(3 DOWNTO 0);--jifei3 signal Q1:integer range 0 to 10000;--JIZONGLUCHENG signal Q10:std_logic_VECTOR(3 DOWNTO 0);--lc0 signal Q11:std_logic_VECTOR(3 DOWNTO 0);--lc1 signal Q12:std_logic_VECTOR(3 DOWNTO 0);--lc2 signal Q13:std_logic_VECTOR(3 DOWNTO 0);--lc3 signal Q2:integer range 0 to 10000;--jidengdaishijianbeginprocess ( clk, rst)beginif clk'event and clk='1' thenif en='1' thenif en1='1' then --JILUCHENGQ1<=Q1+1;if Q10= "1001" THENQ10<="0000" ;IF Q11="1001" THENQ11<="0000";if Q12= "1001" THENQ12<="0000" ;IF Q13="1001"THENQ13<="0000";ELSEQ13<=Q13+1;END IF;ELSEQ12<=Q12+1;END IF;ELSEQ11<=Q11+1;END IF;ELSEQ10<=Q10+1;END IF; --JILUCHENGJIESUIF Q1<=3 THEN --JISUANLUCHENGFEIYONGQ00<="0101";ELSEif Q00= "1001" THENQ00<="0000" ;IF Q01="1001" THENQ01<="0000";if Q02= "1001" THENQ02<="0000" ;IF Q03="1001"THENQ03<="0000";ELSEQ03<=Q03+1;END IF;ELSEQ02<=Q02+1;END IF;ELSEQ01<=Q01+1;END IF;ELSEQ00<=Q00+1;END IF; --LUCHENG FEIYONG JISUANJIESUEND IF;ELSEQ2<=Q2+1;IF Q2>5 THEN --JISUANDENGDAISHIJANDFEIYONGif Q00= "1001" THENQ00<="0000" ;IF Q01="1001" THENQ01<="0000";if Q02= "1001" THENQ02<="0000" ;IF Q03="1001"THENQ03<="0000";ELSEQ03<=Q03+1;END IF;ELSEQ02<=Q02+1;END IF;ELSEQ01<=Q01+1;END IF;ELSEQ00<=Q00+1;END IF;END IF;END IF;END IF;END IF;IF RST='0' THENQ00<="0000";Q01<="0000";Q02<="0000";Q03<="0000";Q1<=0;Q2<=0;Q10<="0000";Q11<="0000";Q12<="0000";Q13<="0000";END IF;LC0<=Q10;LC1<=Q11;LC2<=Q12;LC3<=Q13;JJ0<=Q00;JJ1<=Q01;JJ2<=Q02;JJ3<=Q03;end process;end lab10_4;首行空两个中文字符;文字采用小四、宋体;行间距:多倍行距1.3;字数:200-400字左右关键词课题相关关键词,以分号间隔目录课程设计任务书............................................. 错误!未定义书签。
出租车计费器
出租车计费器一、设计任务出租车自动计费器是根据客户用车的实际情况而自动计算、显示车费的数字表。
数字表根据用车起步价、行车里程计费及等候时间计费三项显示客户用车总费用,打印单据,还可设置起步、停车的音乐提示或语言提示。
1.自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项计费统一用4位数码管显示,最大金额为99.99元。
2.行车里程单价设为1.80元/km,等候时间计费设为1.5元/10分钟,起步费设为8.00元。
要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到1km或等候不足10分钟则忽略计费。
3.在启动和停车时给出声音提示。
二、设计方案方案1 采用计数器电路为主实现自动计费。
分别将行车里程、等候时间都按相同的比价转换成脉冲信号,然后对这些脉冲进行计数,而起价可以通过预置送入计数器作为初值,如图1的原理框图所示。
行车里程计数电路每行车1km输出一个脉冲信号,启动行车单价计数器输出与单价对应的脉冲数,例如单价是1.80元/km,则设计一个一百八十进制计数器,每公里输出180个脉冲到总费计数器,即每个脉冲为0.01元。
等候时间计数器将来自时钟电路的秒脉冲作六百进制计数,得到10分钟信号,用10分钟信号控制一个一百五十进制计数器(等候10分钟单价计数器)向总费计数器输入150个脉冲。
这样,总费计数器根据起步价所置的初值,加上里程脉冲、等候时间脉冲即可得到总的用车费用。
图1 出租车计费器原理框图一上述方案中,如果将里程单价计数器和10分钟等候单价计数器用比例乘法器完成,则可以得到较简练的电路。
它将里程脉冲乘以单价比例系数得到代表里程费用的脉冲信号,等候时间脉冲乘以单位时间的比例系数得到代表等候时间的时间费用脉冲,然后将这两部分脉冲求和。
如果总费计数器采用BCD码加法器,即利用每计满1km的里程信号、每等候10分钟的时间信号控制加法器加上相应的单价值,就能计算出用车费用。
图2 出租车计费器原理框图二方案2 采用单片机为主实现自动计费。
出租车计价器系统设计方案
出租车计价器系统设计方案第一章出租车计价器系统功能描述1.1 计价器整体功能描述结构设计出租车计价器方案并进行仿真,完成白天晚上转换计价,显示路程、单价、总金额。
1.2 各部分电路功能描述1 不同情况具有不同的收费标准。
a) 白天b) 晚上2 具有数据的复位功能3 IO 口分配的简易要求a) 距离检测使用霍尔开关A44Eb) 白天/晚上收费标准的转换开关c) 数据清零开关4 数据输出5 按键a) 启动计时开关b) 数据复位(清零)c) 白天/晚上转换第二章方案论证本系统可分4个模块构成:主控电路、掉电保护模块、信号采集模块和显示模块。
2.1主控电路选择方案一使用数字电路和模拟电路来完成设计要求。
显示器件有:LED显示,VFD显示,分段式数码管等等,针对显示单元电路这里可以使用分段式数码管显示,分段式数码管分为共阳极和共阴极两种,对数码管的驱动针对不同的类型采用不同的芯片,共阴极数码管通常采用TTL系列的74LS48驱动,而共阳极数码管通常采用CMOS系列的CD4543来驱动;仅时钟显示电路框图和实际电路就非常复杂,整体电路将更加烦琐。
而且对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现;整体电路的规模较大,用到的器件多,造成故障率高,难调试。
方案二使用单片机来完成设计要求。
单片机功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。
针对计费模式的切换,通过软件编程就可以容易的实现。
避免了机械开关带来的不稳定因素。
确定方案经过上面的两种方案的比较,显然第二种方案具有更大的优越性、灵活性,所以我们采用第二种设计方案进行设计。
2.2显示电路选择方案一:采用液晶显示器(LCD)显示。
液晶屏显示功能强大,可显示各种字体的数字、汉字、图像,还可以自定义显示内容,显示内容较丰富;方便操作者读取信息及一些扩展功能的实现。
出租车计价器设计报告
出租车计价器设计
出租车计价器设计
3. 设计实现 该设计内容可分为分频模块,控制模块,计量模块和显示模块 设计一个出租车计费器,具有行车里程计费、等候时间计费以及起步价三部分,用数码管 显示行驶里程 行车里程单价1元/公里,等候时间大于五分钟后单价1元/1分钟,起价5元(4公里起价 ) 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电 路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然 后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置
例如单价是1元/公里,则脉冲当量为w0.01元/脉冲
出租车计价器设计
用LED显示行驶公里数,两个数码管显示收费金额 硬件设计 1、 主控制器:选择一个合适的微控制器作为系统整体的主控制模块 2、 里程表接口:设计电路接受和处理来自出租车里程表的信号来获取行驶里程 3、 等待时间计数器:使用定时器来测量为顾客停留的等待时间 4、 显示模块:选择LED数码管用于实时显示行驶的里程和费用 软件设计 1、 里程和等待时间费用计算:编写程序来实现里程表和等待时间测量器计算费用。对应 不同时间以及不同里程段,应用不同的计费规则 2、 显示驱动:编写程序驱动显示模块进行实时更新
随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度
出租车计价器设计
随着EDA技术的高速发展,电子系统的设计技术发生了深刻的变化,大 规模可编程逻辑器件CPLD/FPGA的出现,给设计人员带来了诸多方便。 利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。本次课程设计采用FPGA作为控制芯片,其中只需控制 FPGA中的RAM的状态即可。FPGA芯片可以重复使用,其原因是内容逻辑 关系会在断电后自动消失。4
出租车自动计费器课程设计报告
电子课程设计——出租车自动计费器出租车自动计费器一、 设计任务与要求出租车自动计费器是根据客户用车的实际情况而自动显示用车费用的数字仪表。
仪表根据用车起价、行车里程两项求得用车的总费用,通过数码自动显示。
1. 设计一个自动计费器,具有行车里程计费,起价等部分。
用四位数码管显示总金额,最大金额是99.99。
2. 行车起价为8.00元,超过5公里为1.6元/公里(60公里每小时)二、总体框图模块功能与设计思路:计费的电路将汽车行驶的里程数转换成与之成正比的脉冲个数,在出租车转轴上加装传感器,以便获得“行驶里程信号”。
脉冲源为电路提供的脉冲的周期与行车里程正比。
起价部分电路通过脉冲源加在八百进制计数器上,在800个脉冲之前显示器一直显示0800,当八百进制计数器显示799时通过门电路控制使其停止计数(此时到达五公里)。
然后160进制计数有效,每计一个数,10000进制计数器也计一个数,显示器的数值加一。
三、器件选择与功能简介元器件名称数量备注555定时器 1 构成脉冲电路74LS190 8 十进制计数器74LS191 1 十六进制计数器行车里程 脉冲源起价10000进制计数器脉冲源160进制计数800进制计数器显示器74LS190逻辑符号 74LS191逻辑符号U174LS190NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190外接引线排列 74ls191外接引线排列单刀单掷开关 1 控制总电路单刀双掷开关 1 控制10000进制的置数端非门 1 构成八百进制计数器或门 2 控制八百进制计数器停在799的位置七输入与门 1 当799时输出高电平,八百进制计数器不再计数四位数码显示器 9 显示数字电阻 2 1个367ohm 1个4、7kohm电容 1 1个100uf 1个1 uf电源电压vcc 1 5v二输入与门 1控制800进制计数器到达799时,10000进制计数器开始计数U174LS191NA 15B 1C 10D9~U/D 5QA 3QB 2QC 6QD 7~RCO 13MAX/MIN 12CLK 14~CTEN 4~LOAD1174ls190时序图 74ls191时序图74ls190内部原理图 74ls191内部原理图74LS190是可预置数同步可逆加减十进制计数器,符号如图所示,它具有异步置数端LOAD,加减控制端D/U和计数控制端CTEN,为方便级联,设置了两个级联输出端RCO和MAX/MIN.;当加减控制端等于1时减计数,否则为加计数;当异步置数端等于0时置数,当计数控制段为1时禁止计数,为0时,四个触发器将在时钟上升沿开始计数;当计数器加计数,计数值为9或减计数,计数值为0时,MAX/MIN端输出与时钟周期相同的正脉冲,而RCO产生一个宽度为时钟低电平宽度的低电平,74LS191为四位二进制即十六进制同步加法计数器,其功能表与74LS190相同,如图所示输入输出CTEN LOAD D / U D C B A CPX O X d c b a X 异步预置O 1 O 加计数O 1 1 减计数11 XX 保持图.74LS190、191功能表555定时器555 芯片是定时器,,是一种将数字功能和模拟功能集为一体的中规模集成电路。
出租车计时器设计
出租车自动计费器设计一.设计要求1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。
99元;2、行车里程单价1元/公里,等候时间单价0。
5元/10分钟,起价3元(3公里起价)均能通过人工输入。
3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。
例如单价是1。
0元/公里,则脉冲当量为0。
01元/脉冲。
4、用LED显示行驶公里数,两个数码管显示收费金额。
二.原理描述利用层次化设计理论,将设计问题自顶向下可分为分频模块,控制模块,计量模块和显示模块。
系统框图如下图1:图12.1分频模块分频模块对频率为240Hz的输入脉冲进行分频,得到15Hz,16Hz,1Hz的3种频率,该模块产生频率信号用于计费。
2.2计量控制模块计量控制模块是出租车自动计费器系统的主体部分,该模块主要完成等待计时功能,计价功能和计程功能。
计时功能的主要任务是计算乘客的等待累计时间,计时器的量程为59分,满量程自动清零。
计程功能的主要任务是计算乘客所行使的公里数,计程器的量程为99公里,满量程自动归零。
三.实验程序3.1出租车计价器VHDL程序通过VHDL语言的顺序语句根据一个或者一组条件选择某一特定的执行通道,生成计费数据,计时数据和里程数据。
1. 出租车计价器VHDL程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟start :in std_logic; --计价使能信号stop:in std_logic; --等待信号fin:in std_logic; --公里脉冲信号cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据km1,km0:out std_logic_vector(3 downto 0); --公里数据min1,min0: out std_logic_vector(3 downto 0)); --等待时间end taxi;architecture behav of taxi issignal f_15,f_16,f_1:std_logic; --频率为15Hz,16Hz,1Hz的信号signal q_15:integer range 0 to 15; --分频器signal q_16:integer range 0 to 14; --分频器signal q_1:integer range 0 to 239; --分频器signal w:integer range 0 to 59; --秒计数器signal c3,c2,c1,c0:std_logic_vector(3 downto 0); --制费用计数器signal k1,k0:std_logic_vector(3 downto 0); --公里计数器signal m1:std_logic_vector(2 downto 0); --分的十位计数器signal m0:std_logic_vector(3 downto 0); --分的个位计数器signal en1,en0,f:std_logic; --使能信号beginfeipin:process(clk_240,start)beginif clk_240'event and clk_240='1' thenif start='0' then q_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseif q_15=15 then q_15<=0;f_15<='1'; --此语句得到频率为15Hz的信号else q_15<=q_15+1;f_15<='0';end if;if q_16=14 then q_16<=0;f_16<='1'; --此语句得到频率为16Hz的信号else q_16<=q_16+1;f_16<='0';end if;if q_1=239 then q_1<=0;f_1<='1'; --此语句得到频率为1Hz的信号else q_1<=q_1+1;f_1<='0';end if;if en1='1' then f<=f_15; --此语句得到计费脉冲felsif en0='1' then f<=f_16;else f<='0';end if;end if;end if;end process;main:process(f_1)beginif f_1'event and f_1='1' thenif start='0' thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsif stop='1' thenif w=59 then w<=0; --此语句完成等待计时if m0="1001" then m0<="0000"; --此语句完成分计数if m1<="101" then m1<="000";else m1<=m1+1;end if;else m0<=m0+1;end if;if m1&m0>"0000001"then en1<='1'; --此语句得到en1使能信号else en1<='0';end if;else w<=w+1;en1<='0';end if;elsif fin='1' thenif k0="1001" then k0<="0000"; --此语句完成公里脉冲计数if k1="1001" then k1<="0000";else k1<=k1+1;end if;else k0<=k0+1;end if;if k1&k0>"00000010" then en0<='1'; --此语句得到en0使能信号else en0<='0';end if;else en1<='0';en0<='0';end if;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0; --费用数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0; --公里数据、分钟数据输出end if;end process main;jifei:process(f,start)beginif start='0' then c3<="0000";c2<="0011";c1<="0000";c0<="0000";elsif f'event and f='1' thenif c0="1001" then c0<="0000"; --此语句完成对费用的计数if c1="1001" then c1<="0000";if c2="1001" then c2<="0000";if c3<="1001" then c3<="0000";else c3<=c3+1;end if;else c2<=c2+1;end if;else c1<=c1+1;end if;else c0<=c0+1;end if;end if;end process jifei;end behav;该程序包含3个进程模块。
最新出租车计价器系统的设计
出租车计价器系统的设计摘要:在交通发展迅速的今天,出租车是我们交通中不可缺少的工具。
同时出租车中的计价器是必不可少的一种设备。
出租车计价器系统设计的主要内容是通过C51单片机上的数码显示器来模拟出租车计价器的路程显示表,通过单片机上的键盘上的某些键来表示开始、暂停、复位等功能。
步骤如下:首先,程序开始做一些必要的初始化工作,等待键盘输入。
然后,启动键按下(0)后LED数码管开始记录路程并显示。
最后,当需要停止是可按相应的键(F)对路程计数器进行暂停。
而且还可以复位(E)。
关键词: C51单片机;8255;计价器; LED数码管仅供学习与交流,如有侵权请联系网站删除谢谢151设计内容及要求1.1设计内容及要求(1)出租车里程测量:采用信号源产生脉冲模拟出租车计价传感器产生脉冲,设每产生100个脉冲出租车行驶1公里信号源脉冲频率〈=1KHZ;(2)里程显示为:***.***公里;(3)采用8255芯片管理键盘显示。
1.2框图根据设计内容及要求,设计框图如图1所示。
图1设计框图2系统组成及工作原理仅供学习与交流,如有侵权请联系网站删除谢谢152.1控制单元设计按键名称,O键表示开始计算路程;F键表示暂停;E键表示复位。
当程序开始运行后,按下0键则表示开始计算路程,并由数码管显示出来;按下F键则路程记数器暂停,并显示当前路程,当按下E键则复位。
2.2输出单元设计通过六个8段LED数码管来显示路程。
前四个分别表示千位、百位、十位、个位,而后两个表示十分位和百分位。
2.3定时器的功能和使用方法首先选择方式1——为16位的定时器,其初始化具体如下:«Skip Record If...» «Skip Record If...»s其中fosc为晶振频率,定时时间«Skip RecordIf...»«Skip Record If...»。
出租车计价器设计
出租车计价器设计任务书1.设计目的与要求:设计出一个用于出租车的计价器。
准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能:(一)基本功能:(1)显示:可以显示单价、里程、总金额(2)停车计费功能:中途因故停车超过5分钟后每分钟按当时单价的50%收费(3)自动分时计费功能:白天和夜间应能自动更换单价(二)性能:里程误差小于2%(三)扩展功能:(1)可增加时钟功能(2)可增加数据掉电保护功能2.设计内容:(1)画出电路原理图,正确使用逻辑关系;(2)确定元器件及元件参数;(3)进行电路模拟仿真;(4)SCH文件生成与打印输出;(5)PCB文件生成与打印输出;3.编写设计报告:写出设计的全过程,附上有关资料和图纸,有心得体会。
目录1引言 (1)2 出租车计价器设计要求及设计方案 (1)2.1 设计思路 (1)2.2 系统主要功能 (2)2.3 总体设计方案 (2)2.4 总体设计框图 (2)3 出租车计价系统的硬件设计 (3)3.1 振荡电路 (3)3.2 复位电路 (3)3.3 键盘接口电路 (3)3.4 显示电路 (4)3.5 单片机引脚说明 (4)3.6 LCD1602液晶显示简介 (6)4 出租车计价系统软件设计 (7)4.1 系统主程序 (7)4.2 里程计数子程序 (7)4.3 单价设置子程序 (8)5 总结与体会 (8)参考文献 (9)附录1 (9)附录2 (10)附录3……………………………………………………………………………基于51单片机原理出租车计价器的设计摘要:现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。
而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场是十分有潜力的。
本设计以AT89S51 单片机为中心,利用信号发生器模拟代替霍尔传感器测距,实现对出租车计价统计,输出采用1602液晶显示屏。
出租车计价器系统设计
出租车计价器系统设计
1.系统架构:出租车计价器系统的架构应该分为前端和后端两个部分。
前端负责收集乘客相关的信息,如起始时间、终止时间、起点和终点的位
置等,而后端负责根据这些信息进行计算并返回车费结果。
2.数据采集:为了实现计价器的功能,需要采集乘客乘车时的时间和
地理位置信息。
可以使用全球定位系统(GPS)来获取车辆的位置信息,
并通过系统的时钟记录乘车开始和结束的时间。
3.价格计算规则:出租车计价器系统的价格计算规则应该根据当地的
出租车定价政策来确定。
一般情况下,车费的计算会根据乘车的时间和距
离进行,同时还可能考虑其他因素,如车辆类型、夜间加价等。
4.数据存储和管理:为了实现出租车计价器系统的正常运行,需要将
乘车相关的数据进行存储和管理。
可以使用数据库来存储乘客和乘车信息,并使用相关的查询和更新操作进行数据的管理。
5.界面设计:出租车计价器系统的界面应该简洁、易用,方便乘客进
行操作。
可以使用图形用户界面(GUI)来实现用户与系统的交互,并提
供必要的输入和输出界面。
6.维护和升级:出租车计价器系统的维护和升级是保证系统稳定运行
的重要环节。
需要定期检查系统的硬件和软件设备,对系统进行故障排除
和性能优化,并根据出租车定价政策的更新进行相应的系统升级。
综上所述,出租车计价器系统应该具备数据采集、价格计算规则、数
据存储和管理、界面设计以及维护和升级等关键功能。
通过合理的系统架
构和设计,可以实现对出租车乘客车费的准确计算和及时反馈,提升乘客
的满意度和司机的收益。
出租车计价器课程设计报告书
单片机应用与模拟训练设计报告摘要本设计基于AT89SC51单片机。
霍尔传感器用于采集轮胎转数信息,外部中断0用于将霍尔传感器采集的信息输入单片机,从而实现出租车里程的计算。
对于中间等待要等红绿灯的,用计时器计时,将时间换算成里程进行计费;输出器件采用数码管,本设计采用两个四合一共阴极数码管输出里程和费用;此外,本设计还设计了一个状态指示器来指示仪表的工作状态和显示状态。
出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志。
一个好的计价器对乘客和出租车行业都是必要的。
关键词:仪表,单片机,霍尔传感器目录1概述41.1出租车计价器概述41.2单片机概述42总体方案设计62.1设计任务要求6设计任务6设计要求62.2设计的主要功能62.3方案选择72.3.1硬件设计方案72.3.2软件设计方案73硬件设计93.1 AT89S52单片机及最小系统93.2测距装置113.3按键单元和状态显示单元143.4数字显示单元154软件设计174.1系统主程序174.2数据处理子程序184.3等待时间计时子程序194.4键盘扫描子程序205 Proteus软件仿真216课程设计过程中遇到的问题227课程设计的经验23参考231、原始程序代码242.附系统示意图301概述1.1出租车计价器概述出租车已成为我国城市公共交通的重要组成部分,是现代城市必不可少的基础设施,是人们工作生活中不可或缺的交通工具。
出租车服务行业与出租车计价器密切相关,因为出租车必须安装出租车计价器才能投入运营。
出租车计价器是一种可以根据乘客的距离和等待时间计算价格,直接显示车费数值的计量器具。
计价器是出租汽车经营者与出租汽车消费者公平交易结算的工具,因此计价器计价的准确性直接关系到经营者和消费者的经济利益。
根据国家相关法律法规,出租车计价器是首批列入国家强制检定的工作计量器具之一,也是国家质量技术监督部门近年来重点加强的六大计量器具之一。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
5.完成相关图纸。
完成毕业课题的计划安排
序号
内容
时间安排
1
外文资料翻译
2008.3.1至
2008.3.5
2
搜集相关资料并调研,完成调研报告
2008.3.6至
2008.3.14
3
进行硬件电路的设计及机构的选择,并完成相关程序的编写,编写说明书,绘制相关图纸。
2008.3.15至
2008.4.22
4
整理毕业设计说明书并定稿,准备答辩
2008.4.23至
2008.4.24
5
答辩
2008.4.20
答辩提交资料
外文资料翻译,毕业设计调研报告,
毕业设计说明书,相关图纸。
计划答辩时间
2008.4.20
无锡职业技术学院机电技术学院
2008年4月18日
出租车计价器系统设计任务书
毕业设计任务书
2008年4月18日
毕业设计题目
出租车计价器系统设计
指导教师
俞云强
职称
副教授
专业名称
机电一体化技术
班级ห้องสมุดไป่ตู้
机电50532
学生姓名
王猛
学号
5020053228
设计要求
1.完成资料翻译一份(3000字以上)
2.完成基于AT89S51单片机的出租车计价器系统设计;
3.完成相关程序设计;