2FSK数字信号频带传输系统的设计和建模

合集下载

2FSK数字频带系统的设计与仿真

2FSK数字频带系统的设计与仿真

******************实践教学*******************2013年春季学期通信系统仿真训练题目:2FSK数字频带系统的设计与仿真专业班级:姓名:学号:指导教师:成绩:摘要本文介绍了2FSK系统的两种解调方式:相干解调和非相干解调。

本次课程设计主要利用MATLAB仿真2FSK系统的调制与解调过程,实现2FSK的调制与解调,主要采用相干解调的方式解调2FSK。

首先,利用Matlab仿真出2FSK的调制信号、载波信号、以及已调信号的波形图和频谱图,第二,在仿真出波形图和频谱图的基础之上,通过程序编出在不同信噪比情况下,2FSK的误码率分析,画出误码率与信噪比的关系图。

关键词:仿真FSK 误码率信噪比目录前言 (1)一. 基本原理 (2)1. 2FSK的简单介绍 (2)2. 2FSK的调制原理 (3)3. 2FSK的解调 (4)二、设计思路 (11)1.matlab的简单介绍 (11)2.FSK在matlab环境下实验的优劣 (12)3.信号产生 (12)4.信号调制 (13)5.解调 (13)6.流程图 (13)三、仿真结果及分析 (14)1.仿真结果 (15)2.结果分析 (18)总结 (19)致 (20)附录 (21)参考文献 (28)前言本课程设计主要研究2FSK数字频带系统的设计和仿真,通过本次设计达到以下几个目的:1.学会使用计算机建立通信系统仿真模型的基本方法及基本技能,学会利用仿真的手段对于实用通信系统的基本理论,基本算法进行实际验证。

2.学习通信系统仿真软件MATLAB7.0的基本使用方法,学会使用这些软件解决实际系统出现的问题。

3.通过系统仿真加深对通信课程理论的理解。

4.用MATLAB7.0设计一种2FSK调制解调系统。

5.掌握2FSK调制和解调的原理与实现方法。

6.根据2FSK调制系统的原理给出调制和解调的原理框图。

2FSK在数字通信中应用较广泛,国际电信联盟建议在数据率低于1200b/s时采用2FSK体制,2FSK可以采用非相干接收方式,接受时不必利用信号的相位信息,因此特别适合应用于衰落信道/随参信道(如短波无线电信道)的场合,这些信道会引起信号的相位和振幅随机抖动和起伏。

2PSK、2DPSK数字信号频带传输系统的设计与建模

2PSK、2DPSK数字信号频带传输系统的设计与建模

1.PSK调制电路的建模library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_CPSK isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_CPSK;architecture behav of PL_CPSK is signal q:std_logic_vector(1 downto 0); signal f1,f2:std_logic;beginprocess(clk)beginif clk'event and clk='1' thenif start='0' then q<="00";elsif q<="01" then f1<='1';f2<='0';q<=q+1; elsif q="11" then f1<='0';f2<='1';q<="00"; else f1<='0';f2<='1';q<=q+1;end if;end if;end process;process(clk,x)beginif clk'event and clk='1' thenif q(0)='1' thenif x='1' then y<=f1;else y<=f2;end if;end if;end if;end process;end behav;2.CPSK解调library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_CPSK2 isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_CPSK2;architecture behav of PL_CPSK2 is signal q:integer range 0 to 3; begin process(clk)beginif clk'event and clk='1' then if start='0' then q<=0; elsif q=0 then q<=q+1;if x='1' then y<='1';else y<='0';end if;elsif q=3 then q<=0;else q<=q+1;end if;end if;end process;end behav;3.DPSK调制绝对码到相对码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_DPSK isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_DPSK;architecture behav of PL_DPSK is signal q:integer range 0 to 3; signal xx:std_logic;beginprocess(clk,x)beginif clk'event and clk='1' thenif start='0' then q<=0;xx<='0';elsif q=0 then q<=1;xx<=xx xor x;y<=xx xor x;elsif q=3 then q<=0;else q<=q+1;end if;end if;end process;end behav;4.DPSK解调相对码到绝对码library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity PL_DPSK2 isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_DPSK2;architecture behav of PL_DPSK2 is signal q:integer range 0 to 3; signal xx:std_logic;beginprocess(clk,x)beginif clk'event and clk='1' thenif start='0' then q<=0;elsif q=0 then q<=1;elsif q=3 then q<=0;y<=xx xor x;xx<=x;else q<=q+1;end if;end if;end process;end behav;。

2路FDM的2FSK调制与相干解调系统仿真

2路FDM的2FSK调制与相干解调系统仿真

1 引言通信(Communication)就是信息的传递,是指由一地向另一地进行信息的传输与交换,其目的是传输消息。

然而,随着社会生产力的发展,人们对传递消息的要求也越来越高。

在各种各样的通信方式中,利用“电”来传递消息的通信方法称为电信(Telecommunication),这种通信具有迅速、准确、可靠等特点,且几乎不受时间、地点、空间、距离的限制,因而得到了飞速发展和广泛应用。

可以预见,未来的通信对人们的生活方式和社会的发展将会产生更加重大和意义深远的影响。

目前,无论是模拟通信还是数字通信,在不同的通信业务中都得到了广泛的应用。

但是,数字通信的发展速度已明显超过了模拟通信,成为当代通信技术的主流。

与模拟通信相比,数字通信具有以下一些优点:抗干扰能力强,且噪声不积累;传输差错可控;便于用现代数字信号处理技术对数字信息进行处理、变换、存储;易于集成,使通信设备微型化,重量轻;易于加密处理,且保密性好。

数字通信的缺点是,一般需要较大的带宽。

另外,由于数字通信对同步要求高,因而系统设备复杂。

但是,随着微电子技术、计算机技术的广泛应用以及超大规模集成电路的出现,数字系统的设备复杂程度大大降低。

同时高效的数据压缩技术以及光纤等大容量传输媒质的使用正逐步使带宽问题得到解决。

因此,数字通信的应用必将越来越广泛。

本课程设计主要是设计一个2FSK相干调制的正弦信号频带传输通信系统并对其进行仿真。

在设计此模拟信号频带传输通信系统时,首先产生一段基带信号,对其进行2FSK调制,调制后送入加性高斯白噪声信道传输,在接收端对其进行2FSK解调并抽样判决以恢复原信号,观察前后信号是否一致,绘制误码率曲线,并结合理论进行说明。

1.1 课程设计目的通信原理课程设计是重要地实践性教学环节。

在进行了专业基础课和《通信原理》课程教学的基础上,设计或分析一个简单的通信系统,有助于加深对通信系统原理及组成的理解。

通过课程设计,可以进一步理解通信系统的基本组成、模拟通信和数字通信的基础理论、通信系统发射端信号的形成及接收端信号解调的原理、通信系统信号传输质量的检测等方面的相关知识。

2FSK数字调制系统的设计与仿真

2FSK数字调制系统的设计与仿真

摘要2FSK是信息传输中使用得较早的一种调制方式.本文主要简述了2FSK的设计原理,设计步骤和设计结果及分析.设计原理包括了2FSK的介绍,调制原理和解调原理;设计步骤包括了2FSK信号的产生,调制和解调;设计结果及分析则包括了2FSK信号产生,调制和解调每一步的结果分析和用matlab实现上述的结果. 2FSK在中低速数据传输中得到了广泛的应用。

所谓FSK就是用数字信号去调制载波的频率。

关键词:2FSK 基带信号载波调制解调目录一引言 (1)二2fsk的基本原理和实现 (2)2.1 2FSK信号介绍 (2)2.2 2FSK信号的调制原理 (3)三详细设计步骤 (4)四设计结果及分析 (5)4.1 信号产生 (5)4.2 信号调制 (7)4.3 信号解调 (7)4.4 课程设计程序 (10)五心得体会 (14)参考文献 (15)一、引言本文主要利用matlab来实现2FSK数字调制系统解调器的设计。

该设计模块包含信源调制、发送滤波器模块、信道、接收滤波器模块、解调以及信宿,并对各个模块进行相应的参数设置。

在此基础上熟悉matlab的功能及操作,最后通过观察仿真波形进行波形分析及系统的性能评价。

2FSK信号的产生方法主要有两种:一种是模拟调频法,另一种是键控法,即在二进制基带矩形脉冲序列的控制下通过开关电路对两个不同的独立频率源进行选通,使其在每一个码元Ts期间输出f1或f2两个载波之一。

这两种方法产生2FSK信号的差异在于:由调频法产生的2FSK信号在相邻码元之间的相位是连续变化的,而键控法产生的2FSK信号是由电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续。

本实验采用的是模拟调频法产生2FSK信号。

2FSK信号的接受也分相干和非相干接受两种,非相干接收方法不止一种,他们都不利用信号的相位信息。

故本设计采用相干解调法。

在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点间变化。

通信原理实验2数字频带传输系统实验

通信原理实验2数字频带传输系统实验

实验2 数字频带传输系统实验一、实验目的掌握数字频带传输系统调制解调的仿真过程 掌握数字频带传输系统误码率仿真分析方法二、实验原理数字频带信号通常也称为数字调制信号,其信号频谱通常是带通型的,适合于在带通型信道中传输。

数字调制是将基带数字信号变换成适合带通型信道传输的一种信号处理方式,正如模拟通信一样,可以通过对基带信号的频谱搬移来适应信道特性,也可以采用频率调制、相位调制的方式来达到同样的目的。

1.调制过程 1)2ASK如果将二进制码元“0”对应信号0,“1”对应信号tf A c π2cos ,则2ASK 信号可以写成如下表达式:()()cos2T n s c n s t a g t nT A f tπ⎧⎫=-⎨⎬⎩⎭∑{}1,0∈n a ,()⎩⎨⎧≤≤=其他 0T t 01s t g 。

可以看到,上式是数字基带信号()()∑-=ns n nT t g a t m 经过DSB 调制后形成的信号。

其调制框图如图1所示:图1 2ASK 信号调制框图2ASK 信号的功率谱密度为:()()()][42c m c m s f f P f f P A f P ++-=2)2FSK将二进制码元“0”对应载波t f A 12cos π,“1”对应载波t f A 22cos π,则形成2FSK 信号,可以写成如下表达式:()()()()()12cos 2cos 2T n s n n s n nns t a g t nT A f t a g t nT A f t πϕπθ=-++-+∑∑当=n a 时,对应的传输信号频率为1f ;当1=n a 时,对应的传输信号频率为2f 。

上式中,n ϕ、n θ是两个频率波的初相。

2FSK 也可以写成另外的形式如下:()()cos 22T c n s n s t A f t h a g t nT ππ∞=-∞⎛⎫=+- ⎪⎝⎭∑其中,{}1,1-+∈n a ,()2/21f f f c +=,()⎩⎨⎧≤≤=其他 0T t 01s t g ,12f f h -=为频偏。

基于SIMULINK的2ASK通信系统的设计与仿真

基于SIMULINK的2ASK通信系统的设计与仿真

科技资讯2016 NO.23SCIENCE & TECHNOLOGY INFORMATION7科 技 前 沿1科技资讯 SCIENCE & TECHNOLOGY INFORMATION 现代通信要求传输距离远、传送数据量大和传输质量高。

从早期的模拟通信到技术日臻完善的数字通信,使得信息的传输更为有效和可靠。

2ASK是典型的数字调制方式,也是2FSK和2PSK的基础,在数字通信中占有重要地位。

该文以2A SK为例,在SIMULINK环境下建立系统仿真模型,用模块将系统可见化,用波形将调制和解调过程直观化。

同时,为2FSK和2PSK的建模仿真奠定基础[1]。

1 2ASK 载波传输的工作原理2ASK载波传输包括调制和解调。

2ASK的调制是利用载波的幅度变化来传递数字信息的。

载波幅度只有两种变化状态,分别对应二进制码元信息“0”和“1”。

2ASK采用模拟调制法生成,其表达式为:tnT t g a t t s t e c b nn c ASK ωωcos )(cos )()(2-==∑其中,b T 为码元持续时间,)(t g 为持续时间为b T 的基带信号,n a 是第n 个码元的电平取值,⎩⎨⎧=P-1 0P 1概率为概率为n a 。

接收端将信源发送的数字基带信号还原出来称为解调,解调方式有相干解调和非相干解调。

所谓相干解调,即将已调信号)(2t e ASK 送入带通滤波器,再和载波相乘,然后送入低通滤波器,最后送入抽样判决器,在定时脉冲的控制下,得到信源发出的基带信号。

该文采用相干解调方式。

以上是2ASK的调制和解调原理的简单阐述,下面在SIMULINK环境下仿真实现2ASK的调制和解调。

2 SIMULINK 环境下的2ASK 载波传输建模与仿真在SIMULINK库中选择2ASK数字频带传输所需的模块,创建载波传输系统模型如图1所示。

图1上半部是2ASK的调制部分,由Sine Wave(产生载波,正弦载波信号设为4Hz)、Bernoulli Binary Generator(产生原始二进制数字基带信号)、Product(用于将载波和二进制基带信号相乘生成2ASK调制信号)和Scope(显示波形)模块组成。

实验八-数字频带系统—2FSK系统

实验八-数字频带系统—2FSK系统

西安邮电大学《通信原理》软件仿真实验报告实验名称:实验八数字频带系统——2FSK系统院系:通信与信息工程学院专业班级:通工学生姓名:学号:(班内序号)指导教师:报告日期:2013年5月15日实验八数字频带系统——2FSK系统●实验目的:1、掌握2FSK信号的波形和产生方法;2、掌握2FSK信号的频谱特点;3、掌握2FSK信号的解调方法;4*、掌握2FSK系统的抗噪声性能。

●仿真设计电路及系统参数设置:数字频带系统——2FSK系统仿真设计电路图1 数字频带系统——2FSK系统仿真设计电路时间参数:No. of Samples =8192;Sample Rate =10000Hz单极性不归零码Rate = 100Hz,Amp =1V,Offset = 1V;载波1Amp = 1V,Freq = 1000Hz;载波2Amp = 1V,Freq= 500Hz;功率谱密度选择(dBm/Hz 1 ohm);带通滤波器8、22参数为850Hz-1150Hz,带通滤波器9、23参数为350Hz-650Hz;低通滤波器14、15、26、27参数为0-250Hz;采样器采样频率为100Hz;比较器,Compare=“>=”,True output=2v,False output=0v;仿真波形及实验分析:1、采用键控法,记录2FSK信号的波形和功率谱密度;2、调整载频,观察并记录2FSK信号功率谱密度的变化;载波1Amp = 1V,Frep = 1000Hz;载波2Amp = 1V,Frep =900Hz;带通滤波器8、22参数改为850Hz-1150Hz,带通滤波器9、23参数为750Hz-1050Hz;图2-1 2FSK信号的功率谱密度分析:由上看出2FSK信号功率谱由连续谱和离散谱两部分构成,离散谱出现在f1和f2位置,连续谱由两个中心位于f1和 f2处的双边谱叠加而成。

连续谱的形状随着两个载频之差|f1-f2|的大小而变化,若|f1-f2|≤fs则出现单峰;若|f1-f2|>fs,出现双峰。

2FSK调制解调系统的FPGA设计与实现

2FSK调制解调系统的FPGA设计与实现

第38卷第3期2010年6月浙江工业大学学报J OURNAL OF ZH E J IAN G UN IV ERSIT Y OF TECHNOLO GYVol.38No.3J un.2010收稿日期:2009209215作者简介:应亚萍(1966—),女,浙江东阳人,实验师,硕士研究生,主要从事电子和通信等方向的研究,E 2mail :yyp825@.2FS K 调制解调系统的FP GA 设计与实现应亚萍,许建凤,陈婉君(浙江工业大学之江学院,浙江杭州310024)摘要:FS K (Frequency Shift Keying )———移频键控,或称数字频率调制,是数字通信中使用较早的一种调制方式.数字频率调制的基本原理是利用载波的频率变化来传递数字信息.在数字通信系统中,这种频率变化不是连续而是离散的.详细介绍了基于FP GA 的2FS K 调制解调系统的原理、设计、实现和调试,通过Quart us Ⅱ软件,在FP GA 实验板上设计了一种全数字2FS K 调制解调系统,并调试出结果.根据调试结果做出的优化设计,能够简化传统调制器的设计,缩短系统设计周期.关键词:2FS K;FP GA ;Quart us Ⅱ;HDL 中图分类号:TN914.3 文献标识码:A文章编号:100624303(2010)0320282204Design and implementation of 2FSK modulation 2demodulationsystem based on FPGAYIN G Ya 2ping ,XU Jian 2feng ,C H EN Wang 2jun(Zhijiang College ,Zhejiang University of Technology ,Hangzhou 310024,China )Abstract :FS K —Frequency Shift Keying ,or digital f requency modulation ,is an earlier modulation mode used in digital co mmunication.The basic principle of digital frequency modulation is using t he changes of carrier frequency to t ransmit digital information.In digital communication systems ,t he changes of f requency are not continuous but discrete.The paper int roduces t he principle ,design ,implementatio n ,and debug p rocess of t he 2FS K modulation 2demodulation system based on FP GA in details.U nder t he software of Quart us Ⅱ,a kind of digital 2FS K modulation 2demodulation system is designed o n t he FP GA experiemental board and t he debugged result s are achieved.The debugged result s can be used to optimize t he system design ,simplify t he design of t raditional modulator 2demodulator ,and shorten t he period of system design.K ey w ords :2FS K;FP GA ;Quart us Ⅱ;HDL 随着数字技术日益广泛的应用,以现场可编程门阵列FP GA 为代表的器件得到了广泛的应用,器件的集成度和速度都在高速增长.FP GA 既具有门阵列的高逻辑密度和高可靠性,又具有可编程逻辑器件的用户可编程性.它的可编程特性带来了电路设计的灵活性,在数字电路设计中发挥着越来越重要的作用.在通信系统中,基带数字信号在远距离传输,特别是在有限带宽的高频信道如无线或光纤信道上传输时,必须对数字信号进行载波调制.FS K 就是用数字信号去调制载波的频率,是信息传输中使用较早的一种调制方式.具有抗噪声性能好、传输距离远、误码率低等优点[1].在中低速数据传输中,特别是在衰落信道中传输数据时,有着广泛的应用[2].针对传统用硬件实现FS K 的方法,特别是相干解调需要提取载波,设备相对复杂、成本较高的特点,研究了基于FP GA 芯片的调制解调系统.通过Quart us II 软件平台,采用硬件描述语言,提出了一种2FS K 调制解调系统的设计实现方法,重点研究非相干的过零检测解调算法的实现.1 2FSK 调制解调原理及系统设计1.1 2FSK 调制解调原理2FS K 信号是用载波频率的变化来表征被传信息的状态的,被调载波的频率随二进制序列0,1状态而变化,即载频为f 1时代表传0,载频为f 2时代表传1.显然,2FS K 信号完全可以看成两个分别以f 1和f 2为载频,以a n 和a n 为被传二进制序列的两种2AS K 信号的合成.2FS K 信号的产生通常有两种方式:(1)频率选择法;(2)载波调频法.频率选择法是在二进制基带脉冲的控制下通过开关电路对两个不同的独立频率源进行选通.实现比较简单,获得了广泛应用.载波调频法是采用模拟调频电路来实现.在这里,采用的是频率选择法.2FS K 信号的常用解调方法可采用非相干检测法和相干检测法,实现比较复杂.此外,2FS K 信号还有其他解调方法,比如鉴频法、过零检测法及差分检波法等.过零检测法的原理框图如图1.图1 2FS K 键控信号的过零检测法解调原理框图Fig.1 The zero assay of 2FSK输入的已调信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向尖脉冲,然后整流得到单向尖脉冲,每个尖脉冲表示信号的一个过零点,尖脉冲的重复频率就是信号频率的2倍.将尖脉冲去触发一个单稳态电路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率成正比,即与输入频率信号成正比.所以经过低通滤波器输出平均量的变化反映了输入信号的变化,这样就完成了频率—幅度变换,把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号[3].1.2 2FSK 调制解调系统设计2FS K 调制解调系统框图如图2.输入时钟通过分频器1得到载波f 1的时钟,通过分频器2得到载波f 2的时钟,电路中设计两个载波映射表,根据时钟输入频率的不同,将A/D 正弦转换表映射成频率不同的载波f 1和f 2.设计5阶伪随机m 序列模块,用于产生基带信号.通过二选一数据选择器完成载波频率选择,生成2FS K 调制信号.图2 2FS K 调制解调系统图Fig.2 The modulation and demodulation system diagramof 2FSK已调信号经外部DA 转换芯片DAC0832转换为模拟信号,经信道传输,在接收端采用模数转换芯片MX7821采样,得到已调数字信号序列.系统解调电路采用过零检测法,不同的载波对应的零点数不同,过零点数反映了载波变化的不同,也反映了信码的不同.根据过零解调原理,解调模块包括正弦波限幅整形模块、微分整流模块、脉冲展宽模块、低通滤波模块以及抽样判决模块等子模块.为使解调部分的时钟信号与调制部分同步,加入了位同步dpll 模块,控制解调部分的抽样判决时钟.2 2FSK 调制电路的FPGA 实现2.1 m 序列生成在通信系统中,伪噪声序列(即PN 序列)得到了广泛的应用.最常用的PN 序列是最大长度线性码序列,又称为m 序列,是由n 级线性反馈移位寄存器产生的最大周期(2n -1)非零序列,其特点是具有周期性和伪随机性.m 序列是由带线性反馈的移位寄存器产生的周期最长的一种二进制序列.线性反馈移位寄存器的一般由移位寄存器,若干模二加法器组成线性反馈逻辑网络和时钟脉冲产生器连接而成.・382・第3期应亚萍,等:2FSK 调制解调系统的FP GA 设计与实现系统选用m 序列的阶数为5,五阶m 序列的本原多项式为g (x )=x 5+x 2+1.序列生成器采用D 触发器和门电路组成,如图3.异或门XOR 为线性反馈电路,门电路OR5和NO T 确保状态全零时系统能自启动.图3 m 序列电路图Fig.3 m sequence diagram2.2 正弦载波信号系统采用f 1和f 2两种不同频率正弦波,两者频率相差一倍.载波f 1和f 2通过查找A/D 映射表产生,每个正弦周期取16点采样.载波映射表由两个模块组成,分别是f1_zb.v 和f2_zb.v ,每个模块又调用下面的两个子模块rom.v 和sin16.mif ,其中rom.v 由Quart us II 开发环境的MegaWizard Plug 2In Manager 工具产生.表数据文件sin16.mif 的产生方法很多,可以在simulink 中的正弦波发生器后接示波器,然后把示波器的数据保存到workspace 中,再将数据填入用Quart us II 建立的mif 文件中.设计采用matlab 编程方法直接生成sin16.mif 文件,程序如下:x =0:1:15;y =ro und (1273sin (23pi 3x/16))+128;A =[x ;y ];fid =fopen (’C :\sin16.mif ’,’w ’);fprintf (fid ,’width =8;\r\n depth =16;\r\n ’);fp rintf (fid ,’address_radix =dec ;\r\n data_radix =dec ;\r\n ’);fp rintf (fid ,’content begin\r\n ’);fp rintf (fid ,’%d :%d ;\r\n ’,A );fp rintf (fid ,’end ;’);fclose (fid );plot (x ,y )plot 命令产生取值量化后的16点正弦波形.2.3 调制电路实现调制电路的实现采用模块化设计,对系统时钟计数分频,分频器div8和div16产生频率相差一倍 的时钟;m5模块产生五阶m 序列;mux 模块检测m5模块输出的跳变,当基带信号m 序列变化时,mux 模块根据m 序列值选择f 1或f 2频率的载波输出,完成基带信号的调制.3 2FSK 解调电路FPGA 实现3.1 解调电路实现解调电路系统接收模拟信号,经A/D 转换芯片mx7821采样得到数字信号;在zx 模块中进行限幅处理,得到方波信号;微分整流模块wf 进行边沿检测;pluse 模块负责脉冲展宽;lpf 模块为低通滤波器,得到待判决基带信号.低通滤波后的信号输入到同步模块中,提取位同步时钟信号,供给判决模块使用.最后,在同步时钟控制下进行判决得到解调后的基带信号.3.2 位同步设计解调系统中,需要对接收码元做位同步,目的是使每个码元得到最佳的解调和判决.设计利用FP 2GA 实现位同步,超前—滞后数字锁相环DPLL 的原理框图如图4所示.图4 位同步原理图Fig.4 Bit synchronization schematic diagram数字锁相环电路主要是由鉴相器、序列滤波器、可控分频器和时钟源组成,完成对输入定时信号提取、数字滤波和定时综合.其中可控分频器模值设计为N +1,N ,N -1三种;序列滤波器受鉴相器的输出控制.鉴相器采用的是微分型导前—滞后型鉴相器.时钟源使用系统时钟.锁相环的算法如图5所示.当提取位同步基准脉冲后,锁相环读取表示位同步脉冲可变模分频器的相位计数值,如果相位差在0~8(可调整,与锁相环参数有关)之间,则加大或减小分频器模值存储器,如果相位差为零,则为同步状态,保持原来的分频器模值大小.经过调整,可以使本地振荡器的相位与从线路码提取的位同步基准脉冲同相.・482・浙江工业大学学报第38卷图5 位同步锁相环算法图Fig.5 Bit synchronization phase 2locked loop algorithm4 2FSK 调制解调系统仿真及硬件配置为了整体观察调制解调过程是否正确,将各调制和解调模块组成电路,完成整个系统的电路设计.系统仿真波形图如图6.信号mo ut 为输出基带m 序列;zx 信号输出为限幅后的矩形脉冲;微分整流信号wf 检测信号的过零点,可以通过wf 信号观察到频率的变化;p ulse 为脉冲展宽信号,输出为一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率成正比,即与输入频率信号成正比;bsyn 为位同步定时;dout1信号为判决输出.通过仿真分析,判决输出dout1与发送基带信号mout 一致,但由于信号处理过程产生了一定的延时.设计好的电路图进行硬件下载,验证设计的正确性.硬件实现采用天箭公司的通信系统实验箱,FP GA 芯片为EP1K30TC14423,A/D 模块为MAXIM 公司的MX7821,D/A 模块为DAC0832.管脚可通过Quart us II 软件的菜单Assignment/Assignment Editor 来配置,芯片配置可通过Quar 2t us II 软件的菜单Tools/Programmer ,在Hardware Set up 中选择ByteBlaster 配置硬件设置,在Mode中选择Passive Serial ,选择待编程文件,进行器件编程下载.通过FP GA 的外围引脚,可以比较mout 和do ut1一致,并将示波器观察结果与图6比较,两者也一致.2FS K 输出符合要求,以及其他一些中间结果是正确的.图6 解调系统仿真图Fig.6 The waveform of modulation and demodulation system simulation5 结 论调制解调系统各模块在实验室内进行了一系列测试,包括载频、低通滤波器通频带以及A/D 采样、微分整流、脉冲展宽、抽样判决,位同步等,并通过已经实用化的数字译码设备对产生的信号进行了实际接收.结果表明,信号精度和可用性完全可以满足要求.该方案不仅体现了FP GA 编程的优越性,又便于修改和扩充其功能,获得需要的信号.具有分辨率高、频率变换快,相位可控等优点,能够较好地实现2FS K 调制,产生2FS K 信号.根据调试结果做出的优化设计,能够简化传统调制解调器的设计,缩短系统设计周期.参考文献:[1] 陈华鸿.频移键控(FSK )及其最新应用[J ].现代计算机,2009(9):36239.[2] 樊昌信.通信原理[M ].北京:国防工业出版社,2001.[3] 梅灿华,张潜.基于FP GA 的键控移频调制解调器的设计与实现[J ].安徽大学学报:自然科学版,2005,29(2):22227.(责任编辑:刘 岩)・582・第3期应亚萍,等:2FSK 调制解调系统的FP GA 设计与实现。

MSK和2FSK调制与解调的性能比较

MSK和2FSK调制与解调的性能比较

MSK和2FSK调制与解调的性能比较学院级班指导教师摘要本文旨在证明在信道有高斯噪声情况下MSK的调制与解调比2FSK具有更好的性能。

首先用systemview将MSK和2FSK分别进行调制和解调的仿真,再通过仿真结果讨论二者的优越性。

通过结果证明出MSK优越于2FSK。

关键字MSK、2FSK、调制、解调1.引言随着数字技术的日益发展和数字通信具有的优越性,信息的传递已由原来的模拟信号传输逐渐被数字信号传输所取代。

短距离传输方式一般为数字基带传输,但距离较长时则使用数字带通传输。

数字带通传输前的数字调制常用键控法,而键控法可分为振幅键控(ASK)、频移键控(FSK)、相移键控(PSK)。

其中2FSK由于性能优良、易于实现,得到了广泛的应用;但其也有一些不足之处。

首先它占用带宽比较大,频带利用率较低;其次,用开关法产生2FSK信号,则相邻码元波形的相位可能不连续使得信号波形的包络长生较大的起伏。

为了克服以上缺点,对于2FSK信号做了改进,发展出MSK信号。

本文则通过systemview软件对MSK和2FSK进行模拟仿真,再处理仿真结果后比较二者的各项性能。

本文首先设计2FSK在systemview环境下的调制和解调,在输入PN序列后利用键控发产生2FSK信号再加入高斯噪声,然后在进行解调。

此过程由软件仿真,对比输入原码和输出序列观察其差别,再利用软件算出误码率;MSK亦是如此。

最后通过仿真结果来判别两种调制的性能谁更好。

2.通信软件systemview的介绍Elanix公司的systemview软件基本属于一个系统级工具平台,提供了完整的动态系统设计、仿真和分析的可视化化境,可以构造各种复杂的模拟、数字数模混合系统以及各种速率的系统。

其主要功能为:线性和非线性系统设计、Laplace和Z变换线性系统、信号频谱和功率谱分析,支持嵌入式系统和多层子系统等。

systemview的设计非常方便,它利用功能元件库中的Token来代表一种处理过程,在systemview系统窗口中完成系统的设计。

课程设计----二进制数字频带传输系统(2FSK系统)设计

课程设计----二进制数字频带传输系统(2FSK系统)设计

二进制数字频带传输系统设计——2FSK 系统1 技术要求设计一个2FSK 数字调制系统,要求: 1)设计出规定的数字通信系统的结构;2)根据通信原理,设计出各个模块的参数(例如码速率,滤波器的截止频率等); 3)用Matlab 或SystemView 实现该数字通信系统; 4)观察仿真并进行波形分析; 5)系统的性能评价。

2 基本原理频移键控是利用载波的频率来传递数字信号,在2FSK 中,载波的频率随着二进制基带信号在f1和f2两个频率点间变化。

2FSK 信号的产生方法主要有两种。

一种可以采用模拟电咱来实现;另一种可以采用键控法来实现,即在二进制基带矩形脉冲序列的控制下通过开关对两个不同的独立源进行先通,使其在每一个码元期间输出f1和f2两个载波之一。

这两种方法产生2FSK 信号的差异在于:由调频法产生的2FSK 信呈在相邻码元之间的相位是连续变化的,而键控法产生的2FSK 信号,是邮电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一不定期连续。

频移键控是利用载波的频移变化来传递数字信息的。

在2FSK 中,载波的频率随基带信号在f1和f2两个频率点间变化。

故其表达式为:{)cos()cos(212)(n n t A t A FSK t e ϕωθω++=典型波形如下图所示。

由图2.1可见。

2FSK 信号可以看作两个不同载频的ASK 信号的叠加。

因此2FSK 信号的时域表达式又可以写成:)cos()]([)cos(])([)(2_12n s nn n ns n FSK t nT t g a t nT t g a t s ϕωθω+-++-=∑∑1111tak s 1(t)cos (w1t+θn ) s 2(t) s 1(t) co s(w1t +θn )cos (w2t+φn)s 2(t) cos (w2t+φn)2FSK 信号tttttt图2.1 2FSK 信号的调制过程原理图2.1 2FSK 数字系统的调制原理2FSK 调制就是使用两个不同的频率的载波信号来传输一个二进制信息序列。

(完整word版)2FSK调制与非相干解调

(完整word版)2FSK调制与非相干解调

用SYSTEMVIEW实现2FSK键控调制与相干解调实验报告用SystemView仿真实现2FSK键控的调制一、实验目的1. 掌握2FSK调制原理;2. 掌握仿真软件Systemview的使用方法;3. 完成对2FSK调制仿真电路设计,分别从时域、频域视角观测2FSK系统中的基带信号、载波及已调信号。

二、仿真环境SystemView三、2FSK调制原理数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。

2FSK信号便是符号“1”对应于载频,而符号“0”对应于载频(与不同的另一载频)的已调波形,而且与之间的改变是瞬间完成的。

2FSK键控法利用受矩形脉冲序列控制的开关电路对两个不同的独立频率源进行选通。

键控法的特点是转换速度快、波形好、稳定度高且易于实现,故应用广泛。

2FSK信号的产生方法及波形示例如图所示。

图中s(t)为代表信息的二进制矩形脉冲序列,即是2FSK信号。

2FSK信号的产生方法如下图:根据以上2FSK信号的产生原理,已调信号的数字表达式可以表示为(5-1)其中,s(t)为单极性非归零矩形脉冲序列(5-2)(5-3)g(t)是持续时间为、高度为1的门函数;为对s(t)逐码元取反而形成的脉冲序列,即(5-4)是的反码,即若=0,则=1;若=l,则=0,于是(5-5)分别是第n个信号码元的初相位。

一般说来,键控法得到的与序号n无关,反映在上,仅表现出当与改变时其相位是不连续的;而用模拟调频法时,由于与改变时的相位是连续的,故不仅与第n个信号码元有关,而且之间也应保持一定的关系。

由式(5-1)可以看出,一个2FSK信号可视为两路2ASK信号的合成,其中一路以s(t)为基带信号、为载频,另一路以为基带信号、为载频。

下图给出的是用键控法实现2FSK信号的电路框图,两个独立的载波发生器的输出受控于输入的二进制信号,按“1”或“0”分别选择一个载波作为输出。

abcde 2FSKttttt 二进制移频键控信号的时间波形四、系统组成、图符块参数设置及仿真结果:键控法:采用键控法进行调制的组成如下图所示其中图符3产生绝对码序列,传码率为20kbit/s。

2fsk的频带利用率

2fsk的频带利用率

2FSK的频带利用率1. 什么是2FSK2FSK(2 Frequency Shift Keying)是一种调频调制技术,它使用两个不同的频率来表示数字信号中的二进制数据。

在2FSK中,0和1分别对应于两个不同的频率。

2. 频带利用率的概念频带利用率是指在给定的频谱范围内,有效地传输信息所占用的带宽比例。

对于无线通信系统来说,频带资源是有限的,因此提高频带利用率可以提高系统的数据传输能力。

3. 2FSK的频带利用率计算方法在进行2FSK调制时,需要选择两个不同的载波频率来表示0和1。

假设载波频率分别为f0和f1,且f1 > f0。

则根据香农定理,可知每个载波可以传输的最大数据速率为:C = 2 * B * log2(1 + S / N)其中C为每个载波传输的最大数据速率(单位为比特/秒),B为每个载波所占据的带宽(单位为赫兹),S / N为信号与噪声功率比。

由于2FSK使用两个不同的载波频率,因此总的数据速率为每个载波传输的最大数据速率的两倍:C_total = 2 * C = 4 * B * log2(1 + S / N)频带利用率可以定义为数据速率与带宽之间的比值:Efficiency = C_total / (2 * B) = 2 * log2(1 + S / N)4. 提高2FSK频带利用率的方法4.1 增大载波频率差在2FSK中,两个不同的载波频率分别表示0和1。

如果两个频率相差越大,那么它们之间的带宽也就越大,从而提高了频带利用率。

因此,在设计2FSK系统时,可以通过增大载波频率差来提高频带利用率。

4.2 优化调制方案除了增大载波频率差外,还可以通过优化调制方案来提高2FSK的频带利用率。

例如,可以采用更复杂的调制方式,如8PSK或16QAM等,这些调制方式可以在相同的带宽下传输更多的信息量。

4.3 使用更高效的编码技术编码技术对于提高系统的频带利用率也起着重要作用。

例如,可以使用更高效的编码方案,如Turbo码或LDPC码等,这些编码方案可以在相同的信噪比下实现更高的数据传输速率。

通信原理课设 2FSK数字信号频带传输系统的设计与建模.

通信原理课设  2FSK数字信号频带传输系统的设计与建模.

目录1 绪论 (1)1.1 软件介绍 (1)1.2 题目分析及意义 (2)2 2FSK的调制 (3)2.1 2FSK的产生方法 (3)2.2 2FSK的调制原理图 (4)2.3 2FSK调制的建模方框图 (4)3 2FSK的解调 (5)3.1 2FSK的解调方法 (5)3.2 2FSK调制的建模方框图 (7)4 2FSK仿真 (8)4.1 VHDL建模 (8)4.2 调制仿真 (8)4.3 解调仿真 (9)5 心得体会 (10)6 参考文献 (10)附录1 调制VHDL代码 (11)附录2 解调VHDL代码 (12)附录3 本科生课程设计成绩评定表 (14)1 绪论1.1 软件介绍Xilinx公司的ISE软件平台具有界面友好、操作简单的特点,再加上Xilinx的FPGA 芯片占有很大的市场,使其成为非常通用的FPGA工具软件。

ISE作为高效的EDA设计软件工具,与第三方软件扬长补短,使软件功能越来越强大,为使用者提供了更加丰富的Xilinx设计资源。

ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,下面简要说明各功能的作用:1、设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。

2、综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的Leonardo Spectrum和Synplicity公司的Synplify,实现无缝链接3、仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。

移动通信 实验二2FSK

移动通信 实验二2FSK

(一)二进制移频键控制信号的产生方法
在二进制数字调制中,若载波的频率随二进 制数字基带信号在 f1和f2两个载频间切换,则产 生二进制移频键控制信号(2FSK信号)。二进制 移频键控制信号的产生方法如图1所示。图1(a) 是采用数字键控的实现方法,图1(b)是2FSK信 号的时间波形。
1
振荡器 (1)
了获得最佳分路特性,通常选用的两个载频 f1和 f2 在码元周期 TS内具有正交特性,即
Ts 0
cos(1t
1 )
cos(2t
2
)dt
0
(6式)
上式在 f1 和 f2 间隔为1 / 2TS的整数倍时都能满足,

f1
f2
n 2TS
(n=1,2,…) (7式)
工程上一般取 │f1 - f2│=(3~5) / Ts
阻rD 反向充电,因为反向充电的时常数τ充= rD C较小,因而 触发器清零端的电压会很快上升至高电位上,保证Q端维持
低电平。显然,输入信号的下降沿作用后,清零端电平下降
到1.4V左右的时间长度与脉冲宽度有关,脉冲宽度τ放= W1C, 调节W1可以改变形成脉冲的宽度。调节W1使脉冲形成电路
上下两支脉冲的宽度分别小于T1/2(T1=1/f1),保证两路脉
VCO的频率有10:1的调谐范围,加之要限制FSK信
号的频带,因而加入“14”脚的数字基带信号幅度 不可过大,采用LM565产生2FSK信号的实验线路如 图13所示。
10K
4.7μF
10K
4.7K 4.7K
1.2K
2 3 10 8
LM565
7194
数字基带信号输入 3μF 1K 91PF
10K
500 6800PF

2FSK数字传输系统仿真及设计

2FSK数字传输系统仿真及设计

2FSK数字传输系统仿真及设计摘要:2FSK是利用数字基带信号控制载波的频率来传送信息,是数字通信中使用较早的一种调制方式。

本课程设计利用MATLAB软件来实现对2FSK数字调制解调器的仿真与设计。

2FSK解调有两种方法,即相干解调法和非相干解调法。

本课程设计详细阐述了2FSK数字调制解调器的实现及系统性能分析。

通过MATLAB软件中调试出仿真结果,实现了一串二进制序列的调制与解调仿真,并得到了良好的仿真波形图。

关键词:2FSK;相干解调;数字传输系统;MATLAB仿真目录第1章绪论 (1)1.1课题研究的背景和意义 (1)1.2课题研究的主要内容和结构安排 (1)第2章 MATLAB简介 (2)第3章 2FSK的基本原理 (3)3.12FSK数字系统的调制原理 (3)3.2FSK的解调方式 (4)3.2.1 非相干解调 (4)3.2.2 相干解调 (4)3.2.3 2FSK的功率谱和带宽 (5)3.32FSK抗噪声性能分析 (5)第4章 2FSK仿真实现 (6)4.1程序调试 (6)4.2MATLAB仿真分析 (6)4.3系统性能分析 (9)第4章小结 (10)参考文献 (11)附录 (12)第1章绪论1.1 课题研究的背景和意义数字基带信号是低通型信号,其功率谱集中在零频附近,它可以直接在低通型信道中传输,然而,实际信道很多是带通型的,数字基带信号无法直接通过带通型信道。

因此,在发送端需要把数字基带信号的频谱搬移到带通信道的通带范围内,以便信号在带通型信道中传输,这个频谱的搬移过程称为数字调制,相应地,在接受端需要将已调信号搬回来,还原为基带信号,这个反搬移过程叫数字解调。

本课程设计目的在于熟悉2FSK调制及相干解调过程,通过Matlab软件予以仿真测试验证,并作一定的误码分析。

1866年利用海底电缆实现了跨大西洋的越洋电报通信。

1876年贝尔发明了电话,利用电信号实现了语音信号的有线传递,使信息的传递变的既迅速又准确,这标志着模拟通信的开始,由于它比电报更便于交流使用,所以直到20世纪这种采用模拟技术的电话通信技术比电报的到了更为迅速和广泛的发展。

2PSK数字频带通信系统设计概述

2PSK数字频带通信系统设计概述

2PSK数字频带通信系统的设计与实现摘要:数字通信系统分为数字频带传输通信系统、数字基带传输通信系统、模拟信号数字化传输通信系统。

本次课程设计主要是利用matlab中的simulink模块对频带传输系统进行仿真。

在设计频带传输系统时,通过对原理的分析和实现过程中的实际操作问题的解决方便,采用的方案是用2PSK 的调制方式,首先对信号进行PSK调制,并把运行仿真结果输入到示波器,根据示波器结果分析设计的系统性能。

再通过加入高斯白噪声传输信道,接着在接收端对信号进行PSK解调,采用相干解调法,最后把输出的信号和输入的信号进行比较。

通过最后仿真结果可知,在仿真过程中存在着一定的误码,该信号频带传输通信系统已初步实现了设计指标并可用于解决一些实际性的问题。

关键词:数字频带;2PSK调制;高斯白噪声;Simulink;目录第1章绪论 (1)1.1 背景 (1)1.2 选题的目的和意义 (1)1.3 本课程设计的主要内容 (2)第2章2PSK信号调制与解调的基本原理 (3)2.1 总体思想 (3)2.2 2PSK信号的产生 (3)2.3 2PSK信号的解调原理及抗噪声性能 (5)2.3.1 2PSK信号的解调原理 (5)2.3.2 2PSK信号相干解调误码率的计算 (6)第3章 simulink的介绍 (9)3.1 Simulink相关内容 (9)3.2 Simulink仿真原理 (9)3.3 Simulink仿真过程 (9)第4章 2PSK数字调制与解调系统的设计 (11)4.1整体电路设计 (11)4.2 2PSK信号调制模块设计 (11)4.3 2PSK信号解调模块设计 (13)4.4 误码率计算模块设计 (15)第5章仿真实现 (18)5.1 matlab仿真结果分析 (18)5.2误码率分析 (20)5.3仿真过程出现的问题 (20)第6章总结 (21)参考文献 (22)第1章绪论1、1 背景数字基带信号是低通型信号,其功率谱集中在零频附近,它可以直接在低通型信道中传输。

2FSK调制系统

2FSK调制系统

摘要当今的信息时代,通信与我们的日常生活密切相关。

而数字通信以其独特的优点而在通信传输中得到了广泛的应用。

设计选取CPLD器件来做2FSK调制系统。

系统共分为分频器、数字选择器、随机序列产生器、跳变检测器、正弦波信号产生器、外部时钟发生器和数/模转换器等七个部分。

其中前5个部分由可编程逻辑器件来完成,用VHDL语言编写程序。

外部时钟用石英振荡电路构成。

分频器是将外部时钟分为数字信号速率和两个载波频率。

调制部分用数字信号控制数字选择器从而选择两个载波的通断来实现。

然后将信号通过一个正弦波采样信号发生器,产生相应频率的正弦采样信号,最后将2FSK采样信号经过数/模转换成模拟信号。

并且在MAX+plus II软件实验平台上实现仿真。

由于所用的器件在信号处理过程中有一定的延迟,所以不可避免的出现信号失真。

这在实际应用中也是不可避免的。

采用更好的调制方法和改进器件的信号处理速度可以使信号延迟得到改善。

关键字:数字基带信号,频带传输,可编程逻辑器件,硬件描述语言AbstractCommunication is very close to our lives in today. Moreover the digital communication obtains the widespread application because of its unique merits in the communicational transmission.The design selected CPLD components to make the 2FSK modulation system. The whole system includes seven parts as following: the Frequency Divider, the Digital Selector, the Random Sequence Producer, the Jump Detector, the Sine Wave Signal Producer, the Exterior Clock Generator and the Digital/Analog commtator. Programmable Logical Device can complete the first five parts and the procedure is written with the VHDL. Vibrating silicon oscillatory circuit constituted the Exterior Clock. The frequency divider divides the Exterior Clock into digital signal frequency and two carrier frequencies. The modulation department is achieved by using the digital signal to control the digital selector and to select the two frequency-onoffs. Then the signal is translated into the sine sampling signal with corresponding frequency by the Sine Wave Signal Producer, finally the 2FSK sampling signal is translated into analog signals with the Digital/Analog commtator, and then simulation is realized in the MAX+plus II software experimental platform.Because those devices used in the system always delays during the signal processing process, it is inevitable that the signal would be distortion. It is also inevitable in the practical application. In order to improve the system, using better modulation methods and improving the signal processing speed of the devices are helpful.Key words: digital baseband signal, sidebands transmission, Programmable Logic device, Hardware description language.目录摘要 (I)ABSTRACT........................................................... I I 1 绪言.. (1)1课题背景 (1)1.2课题背景 (1)1.3课题的研究工作 (3)2 系统设计方案的研究 (5)2.1系统的性能指标 (5)2.2系统实现的原理 (5)2.3CPLD的相关知识和相关描述语言 (7)2.3.1 CPLD的相关知识 (7)2.3.2 硬件描述语言的选择 (8)2.4设计方案的性能比较 (10)2.4.1 用小逻辑器件实现 (10)2.4.2 用2ASK的调制方案来实现2FSK调制 (11)2.4.3 用可编程逻辑器件设计2FSK调制器 (11)2.4.4 几种方案的性能比较 (12)3.系统的设计 (13)3.1设计所需器件的选用 (13)3.1.1可编程逻辑器件的产品的选择 (13)3.1.2可编程逻辑器件的产品的介绍 (14)3.1.3 D/A转换器 (16)3.2调制系统的设计 (16)4 2FSK的软件部分和相关程序 (21)4.1程序算法设计 (22)4.2程序设计 (25)5 总结与感想 (26)致谢 (27)参考文献 (27)附录1 VHDL源程序 (30)1 绪言本章阐述通信系统中数字信号传输研究背景、现状以及发展方向,明确指出了当今通信系统所面临的问题以及数字通信系统的若干优点,数字通信传输的发展方向和发展前景。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

武汉理工大学《通信原理课程设计》目录1 课设设计要求 (1)1.1 题目的意义 (1)1.2 设计要求 (1)2 FSK设计原理和方案 (2)2.1 FSK的调制 (2)2.1.1 直接调频法 (2)2.1.2 频率键控法 (2)2.1.3 基于FPGA的FSK调制方案 (3)2.2 FSK的解调 (3)2.2.1 同步(相干)解调法 (3)2.2.2 FSK滤波非相干解调法 (4)2.2.3 基于FPGA的FSK解调方案 (5)3 FSK设计的程序与仿真 (5)3.1 FSK基于HDL语言调制 (5)3.1.1 FSK调制程序 (5)3.1.2 FSK调制仿真 (7)3.1.3FSK调制电路 (8)3.2 FSK基于VHDL语言解调 (8)3.2.1 FSK解调程序 (8)3.2.2FSK解调仿真 (10)3.2.3 FSK解调电路 (10)4心得体会 (11)参考文献 (12)1课设设计要求1.1题目的意义数字调制技术是现代通信的一个重要内容,在数字通信系统中由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(Digital Modulation)。

数字调制同时也是数字信号频分复用的基本技术。

数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制,因而数字调制具有自身的特点一般说来数字调制技术分为两种类型:一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。

后一种方法通常称为键控法。

例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。

移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。

以往的键控移频调制解调器采用“定功能集成电路+连线”式设计;集成块多,连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。

1.2设计要求1.了解了FSK信号的基本概念后,利用Quartus II软件中的VHDL语言对2FSK频移键控系统就行调制、解调的程序设计。

2.程序设计运行成功后,在利用VHDL语言对FSK频移键控系统进行调制、解调的波形仿真。

3.最后通过VHDL语言制作出FSK频移键控系统调制、解调的电路图。

2 FSK设计原理和方案2.1 FSK的调制频移键控即FSK(Frequency-Shift Keying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。

在二进制情况下,“1”对应于载波频率,“0”对应载波频率,但是它们的振幅和初始相位不变化。

FSK 信号产生的两种方法:2.1.1直接调频法用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。

一般采用的控制方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为f2);从而实现了调频。

这种方法产生的调频信号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但是其优点是由调频器所产生的FSK信号在相邻码元之间的相位是连续的。

2.1.2频率键控法频率键控法也称频率选择法。

它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。

图2.1 频率键控原理框图键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转速度快,波形好。

频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是uFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。

2.1.3 基于FPGA的FSK调制方案图2.2 FSK调制方框图2.2 FSK的解调数字频率键控(FSK)信号常用的解调方法有很多种如:2.2.1 同步(相干)解调法在同步解调器中,有上、下两个支路,输入的FSK信号经过1ω和2ω两个带通滤波器后变成了上、下两路ASK信号,之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。

假设上支路低通滤波器输出为1x,下支路低通滤波器输出为2x,则判决准则是:x1−x2>0x1−x2<0 (2.1)大于0,判别输出f1信号;小于0,判别输出f2信号。

图 2.3 相干解调法原理框图接收信号经过并联的两路带通滤波器进行滤波与本地相干载波相乘和包络检波后,进行抽样判决,判决的准则是比较两路信号包络的大小。

假设上支路低通滤波器输出为t1cosω,下支路低通滤波器输出为t2cosω,则判决准则是:如果上支的信号包络较大,则判决为“1”;反之,判决为收到为“0”。

2.2.2 FSK滤波非相干解调法输入的FSK中频信号分别经过中心频为、的带通滤波器,然后分别经过包络检波,包络检波的输出在t=kTb时抽样(其中k为整数),并且将这些值进行比较。

根据包络检波器输出的大小,比较器判决数据比特是1还是0。

图2.4 非相干解调法原理框图2.2.3 基于FPGA的FSK解调方案图2.5 FSK解调方框图3 FSK设计的程序与仿真3.1 FSK基于HDL语言调制3.1.1 FSK调制程序文件名:Q_5--功能:基于VHDL硬件描述语言,对基带信号进行FSK调制LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY Q_5 ISPORT(CLK:IN STD_LOGIC; --系统时钟START:IN STD_LOGIC; --开始调制信号X:IN STD_LOGIC; --基带信号Y:OUT STD_LOGIC); --调制信号END Q_5 ;ARCHITECTURE BEHA V OF Q_5 ISSIGNAL Q1:INTEGER RANGE 0 TO 11; --载波信号F1的分频计数器SIGNAL Q2:INTEGER RANGE 0 TO 3; --载波信号F2的分频计数器SIGNAL F1,F2:STD_LOGIC; --载波信号F1,F2BEGINPROCESS(CLK) --产生载波F1BEGINIF (CLK'EVENT AND CLK='1') THENIF START ='0' THENQ1<=0;ELSIF Q1<=5 THEN F1<='1';Q1<=Q1+1; --改变Q1可以改变载波F1 的占空比ELSIF Q1<=10 THEN F1<='0';Q1<=Q1+1;ELSIF Q1=11 THEN F1<='0';Q1<=0;END IF;END IF;END PROCESS;PROCESS(CLK) --产生载波F2BEGINIF (CLK'EVENT AND CLK='1') THENIF START ='0' THEN Q2<=0;ELSIF Q2<=1 THEN F2<='0';Q2<=Q2+1;ELSIF Q2=2 THEN F2<='1';Q2<=Q2+1;ELSIF Q2=3 THEN F2<='1';Q2<=0;END IF;END IF;END PROCESS;PROCESS(CLK,X) --此进程完成对基带信号的FSK调制BEGINIF (CLK'EVENT AND CLK='1') THENIF X='1' THEN Y<=F1; --X='1'时,输出F1ELSE Y<=F2; --X='0'时,输出F2END IF;END IF;END PROCESS;END BEHA V;3.1.2 FSK调制仿真工程编译通过后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。

整个时序仿真测试流程一般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。

以FSK调制的输出作为FSK解调的输入。

一、FSK调制波形仿真(1)建立仿真测试波形文件。

选择Quartus II主窗口的File菜单的New选项,在弹出的文件类型编辑对话框中,选择Other Files中的Vector Weaveform File项,单击OK按钮,即出现波形文件编辑窗口。

(2)设置仿真时间区域。

对于时序仿真测试来说,将仿真时间设置在一个合理的时间区域内是十分必要的,通常设置的时间区域将视具体的设计项目而定。

设计中整个仿真时间区域设为6us、时间轴周期为40ns,其设置步骤是在Edit菜单中选择End Time,在弹出的窗口中Time处填入6,单位选择us,同理在Gride Size中Time period输入40ns,单击OK按钮,设置结束。

(3)输入工程信号节点选择View菜单中的Utility Windows项的Node Finder,即可弹出如图 4.2.1所示的对话框,在此对话框Filter项中选择Pins:all&Registers:Post-fitting,然后单击List按钮,于是在下方的Nodes Found 窗口中出现设计中的PL_FSK2工程的所有端口的引脚名。

用鼠标将时钟信号节点CLK、START、X、Y、q、m和xx分别拖到波形编辑窗口,此后关闭Nodes Found 窗口即可。

图3.1 FSK调制仿真缩小图图3.2 FSK调制仿真放大图3.1.3FSK调制电路图3.3FSK调制电路图Quartus II可实现硬件描述语言或网表文件(VHDL、Verilog、BDF、TDF、EDIF、VQM)对应的RTL电路图的生成。

其方法为:选择Tools︱RTL Viewer,可以打开PL_FSK2工程个层次的RTL电路图,双击图形中有关模块,或选择左侧各项,可了解个层次的电路结构。

相关文档
最新文档