电子式洗衣机时间控制器的电路设计
数电课程设计 洗衣机定时控制器
数字电子技术课程设计报告题目:洗衣机定时控制器班级:洗衣机定时控制器一、设计任务及要求:1.设计脉冲信号源(秒脉冲)2.至少能显示 1:00—12:593.具备校时功能4.附加特殊功能设计(报时功能)二、方案设计与论证:所谓数字钟,是指利用电子电路构成的计时器。
相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。
在此基础上,还能够实现整点报时的功能。
其中有振荡器,分频器,校时电路,报时电路,计数器,译码器和显示器七部分组成。
振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准方案一:使用 COMS 数字芯片,使用专用时钟芯片,使用十进制计数器,以及使用万用板焊接电路,分模块搭建电路,使用专用电源供电。
优点:计时准确,反应灵敏,思路简单,性能稳定,成功率高,便于调试。
缺点:驱动能力弱,走线复杂,对数电知识的利用并不充分。
方案二:使用 TTL 数字芯片,使用 74LS93 多进制计数器,用 555 定时器自建时钟模块,使用 USB 供电,使用 PCB 制板。
优点:电路驱动能力强,不必考虑输入脚悬空的问题,充分利用了模电、数电的知识,外观漂亮,供电方便。
缺点:整体布局比较麻烦,排查错误比较麻烦,时钟性能一般。
在比较两个方案的优缺点后,选择了第二个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。
通过仿真,原理图设计,PCB 制作,分步骤调试,来解决方案二的不足。
使做出来的效果又好,又能充分利用学过的数电知识。
可以体现数电课设的真正内涵。
我们设计的电子钟,严格按照设计要求,具有整点报时,调时,调分等功能;特别是,我们的调时调分开关,都加上了消抖电路,使用了模拟电路消抖,省去了一些数字芯片,这些都是我们组,区别于其他组的地方。
设计原理及框图定时控制器实际上是一个对标准频率进行计数的计数电路,由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的 1kHZ 时间信号必须做到准确稳定。
洗衣机自动控制电路设计
毕业论文题目洗衣机自动控制电路设计院系自动控制系专业电气工程与自动化学生姓名蒋德锋学号 20072340940指导教师钱承山职称副教授二O一一年五月二十日目录1 引言 (1)2 全自动洗衣机电路设计 (2)2.1 方案选择 (2)2.1.1 全自动洗衣机的控制功能要求: (2)2.1.2 实现方法 (3)2.1.3 整机组成框图 (3)2.2 硬件电路设计 (4)2.2.1 全自动洗衣机的逻辑控制总电路 (4)2.2.2 AT89C51单片机 (5)2.2.3 数码管显示电路 (8)2.2.4 电机控制电路 (9)2.2.5 进水阀控制电路 (11)2.2.6 排水阀控制电路 (11)2.2.7 按键及报警电路 (12)2.2.8 洗衣机的暂停功能采用中断处理方式电路 (14)2.3 软件设计 (14)3 Proteus仿真 (16)4 结论 (21)参考文献: (21)致谢 (22)I洗衣机自动控制电路设计蒋德锋南京信息工程大学自动控制系,南京 210044摘要:本文介绍了全自动洗衣机控制电路的设计,是以AT89C51作为核心元件,设计出了洗衣机的控制电路,特别是进行洗衣程序的控制设计。
从这一角度出发,对洗衣机的功能进行分析,设计的全自动洗衣机的主要功能有一下七项:具有强、弱洗涤功能;四种洗衣工作程序,即标准程序、经济程序、单独程序和排水程序;进、排水系统故障自动诊断功能;脱水期间安全保护功能;间歇驱动方式;暂停功能;声音显示功能。
最后对设计的电路进行了仿真,仿真的结果证明了该电路的可行性、合理性,并且给出了图。
关键词:AT89C51;全自动洗衣机;控制电路;Proteus仿真1 引言洗衣机市场经历了最初单杠洗衣机,随后又发展了双杠洗衣机,半自动洗衣机,全自动波轮式洗衣机,滚筒式洗衣机,模糊全自动洗衣机等等,技术发展越来越成熟和稳定,但是也是存在着各自的缺陷。
在国内,双缸洗衣机购买的人已经是越来越少了,滚筒洗衣机和波轮洗衣机将成为市场的主流,使用洗衣机就是图个方便省力,现在的全自动洗衣机都符合人们的要求。
洗衣机控制系统中的电力电路设计
洗衣机控制系统中的电力电路设计一、引言洗衣机是一种现代家庭生活的必需品,它的控制系统对于洗衣机的性能和效果起着关键性的作用。
其中的电力电路设计不仅要保证稳定可靠,还必须考虑到高效节能的因素,使得洗衣机在使用过程中不仅能够洗净衣物而且能够达到较好的节能效果。
本文将分析洗衣机控制系统中的电力电路设计。
二、洗衣机控制系统电路概述洗衣机的控制系统主要由以下几个部分组成:1.主控制器:主控制器是洗衣机控制系统中的核心部分,它可以控制所有的洗衣机功能和操作。
主控制器通过与用户界面和传感器的通信来完成其功能。
主控制器还可以监测机器的性能和运行状态,并能够自动调整水位、水温和滚筒转速等参数。
2.用户界面:用户界面包括显示面板和按钮。
显示面板可以显示机器的运行状态和配置参数。
按钮可以启动机器、设置操作参数和选择程序模式。
3.传感器:传感器用于监测洗衣机的运行状态和衣物的加载情况。
洗衣机中常用的传感器有温度传感器、水平传感器和压力传感器等。
4.电力电路:电力电路是洗衣机控制系统中最重要的部分之一。
它包括电机驱动器、电源、继电器、保险丝和断路器等。
电力电路的设计必须保证稳定可靠,同时还需要考虑到能耗和安全因素。
三、电力电路的设计1.电机驱动器的设计洗衣机中的电机驱动器是一个必需的电力元件,它的设计对于洗衣机的性能和使用寿命起着决定性的作用。
电机驱动器的设计必须满足以下几个要求:(1)高效节能:电机驱动器需要保证高效节能的需求。
一方面,它需要保证能够提供足够的电力给电机驱动器,以保证滚筒的高速旋转。
另一方面,它需要尽量减少能耗,通过改变驱动器的输出功率,以适应不同的洗涤模式和衣物负荷。
(2)可靠性:电机驱动器必须具备高可靠性和低故障率。
在工作过程中,它必须能够保持稳定运行,避免产生故障和损坏洗衣机。
(3)安全性:电机驱动器的设计必须考虑到安全因素。
它必须保证洗衣机在高速旋转的情况下,不会出现任何的危险或事故。
因此,需要保证其安全性能,例如增加限速装置和安全保护措施等。
具有数字显示的洗衣机时控电路详解
燕山大学EDA课程设计报告书题目:具有数字显示的洗衣机时控电路姓名:班级:通信工程学号:成绩:一、设计题目及要求(一)设计题目:具有数字显示的洗衣机时控电路(二)设计要求:1.洗衣机工作时间可在1~15分钟内任意设定(整分钟数);2.规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转;4.电机正转和反转要有指示灯指示。
二、设计过程及内容(一)设计方案:(1)设计一个732进制的分频器fenpin,用3个74160构成,将732 Hz的时钟脉冲分频为1Hz,来实现1秒的频率作时钟信号。
(2)设计一个可产生六十进制进位信号模块count60,用2个74160构成,每六十个时钟信号产生一个进位信号,实现1分钟的周期做下一级显示模块的时钟信号。
(3)设计一个控制灯模块deng,在60秒周期中,前20秒灯L1灯亮(表示正转),再10秒没有灯亮(表示停),再有20秒灯L2亮(表示反转),再10秒没有灯亮(表示停)。
(4)设计一个显示模块display,完成“洗衣机工作时间可在1~15分钟任意设定(整分钟数);能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为‘0’时,电机停止运转”的任务,计划用减法计数器74191使分钟数自动减1。
另外要用到扫描显示电路,将分钟的个位和十位上的数据分别用两个数码管进行显示,用以倒计时,显示机器的剩余工作时间。
数码管显示电路用2个双四选一数字选择器74153和BCD—七段7449显示器构成。
74191和数码管显示电路之间。
此外本模块还需加一个将四位二进制数转换八位二进制数以实现十位和个位显示的小模块zhuan10。
(二)设计过程:(1)732分频模块fenpin经过分析,决定用实验箱上的732Hz的频率,所以需要做一个732分频模块。
具有数字显示的洗衣机时控电路
燕山大学EDA课程设计报告书题目:洗衣机时空电路一、设计题目及要求(一)设计题目:洗衣机时控电路(二)设计要求:1.洗衣机工作时间可在1~15 分钟内任意设定(整分钟数);2.规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,分钟计时器自动减1,直到显示为“0”时电机停止运转,停运后发出响两秒停一秒的蜂鸣提示。
4.电机正转、反转和停转要有指示灯指示,并要有秒数正计时显示。
二、设计过程及内容(一)设计方案:(1)首先设计一个366进制的计数器366count, 用3个74160构成,将366 HZ的时钟脉冲分频为1HZ,来实现1秒的频率作时钟信号。
同时这个366Hz的基频也是数码管扫描电路的时钟频率。
(2)设计一个可产生六十进制借位信号模块count60,用2个74190构成,每六十个时钟信号产生一个进位信号,实现1分钟的借位信号。
(3)设计一个15进制的分计数器, 用2个74190构成,完成分时钟的定时与计时。
(4)设计一个控制灯的显示模块,用74138译码器实现控制不同灯的亮灭。
在六十秒周期中前二十秒红灯亮(表示正转),再十秒黄灯亮(表示停),再有二十秒绿灯亮(表示反转)再十秒黄灯亮(表示停)。
电机停止运转的任务。
(5)设计一个扫描显示电路,将分钟的个位和十位数据和秒的个位和十位上的数据用四个数码管进行显示,用以显示定时数据和倒时数据。
数码管扫描显示电路用74151和7448译码器和数码管构成。
(6)设计一个结束报警模块,用非门和与门就可实现。
定时结束后,实现蜂鸣器报警。
蜂鸣电路用三进制加法计数器设计,当74160的低二位为00,01,10时,第四位出现两次0,一次1,取反后为两次1一次0,正好可以实现响两秒停一秒。
(二)设计模块(1)366进制加法计数器用3个74160实现将366Hz的时钟信号转换成为1Hz的秒脉冲信号,用并行方法实现,以达到整个电路1Hz的时钟基础。
洗衣机时控电路
具有数字显示的洗衣机时控电路设计
一.设计任务
采用中小规模集成电路设计一个简易的数字频率计。
二.主要技术要求
1.洗衣机的工作时间t可以在1~9min内任意设定。
2.假定电动机运行规律为:正转20s→停止10s→反转20s→停止10s,如
此周而复始地循环下去。
3.能用数字显示洗衣机的剩余工作时间。
当电动机开始运转时,显示器显
示洗衣机的总工作时间,每当电动机运行1min,显示器数字自动减1,
直到显示器的数字为0时,电动机停止运行。
4. 模拟负载电路要求:
①电动机正转时,要求蜂鸣器发出断续的鸣叫声,其频率约为2kHz;
②电动机反转时,要求蜂鸣器发出断续的鸣叫声,其频率约为500kHz。
③电动机停止时,则要求蜂鸣器无声。
三.设计要求
1.根据指标要求设计出原理电路图,分析工作原理,计算电路参数,选择电路元件。
2.列出元、器件明细表。
3.进行设计电路的仿真调试。
4.实验室安装调试所设计的电路,使之达到设计要求。
5.记录试验结果。
6.撰写设计报告、调试总结报告,总结设计收获。
参考原理框图。
课程设计---洗衣机控制器的设计
电子技术课程设计报告书课题名称洗衣机控制器的设计姓名学号院、系、部专业电子信息工程指导教师2010年 11 月 25日洗衣机控制器的设计1 设计目的(1) 熟悉集成电路的引脚安排。
(2)掌握各芯片的逻辑功能及使用方法。
(3)了解面包板结构及其接线方法。
(4)了解洗衣机控制器的组成及工作原理。
(5)熟悉洗衣机控制器的设计与制作。
(6)运用HVDL 语言完成洗衣机控制器的编程。
2 设计思路(1)设计洗衣机控制器电路。
(2)设计可预置时间(10-30分钟)的定时模块。
(3)设计洗衣机运作模块,控制洗衣机的进水,洗衣,放水,脱水运转。
(4)设计分频模块,为洗衣机提供‘秒’时钟。
(5)设计译码模块,完成对时间(分)的二进制译码和LED 管的动态扫描。
(6)设计电源电路和按键判别电路。
(7)设计显示电路,完成对剩余时间和工作状态的显示3 设计过程3.1 方案论证洗衣机控制器的整体框图如下(图一)所示:图 一(洗衣机控制器原理框图)FPGA 芯片 电源总控制键盘电路 译码模块洗衣机运作模块 显示电路 分频模块 时钟(1K )工作原理:1、开启电源后,洗衣机进入总控模块的时间设定模式,由7段LED 灯显示所设定时间;2、时间设定后,启动洗衣机,进入洗衣机运转模式,即启动洗衣机运转模块,同时屏蔽总控模块总时间(Time_all )信号。
每次自动洗衣时间由总控模块自动分配。
七段LED 灯轮换显示工作模式(进水=1,洗衣=2,放水=3,脱水=4)和剩余时间。
3、3次自动洗衣完成后,洗衣机进入待机模式,七段LED 灯灭,再次等待时间设定。
电路设计洗衣机控制器主要电路如图所示:ULN2803是高电压大电流达林顿晶体管阵列,用于高电压大电流负载。
74LS138为动态扫描译码电路,可基于该电路扩展LED 显示为最高8位动态。
增加了VCC 所接上拉电阻,为LED 显示提供足够的驱动电流。
KEY 为机械开关,只针对单个按键进行合键判别,无对应行列扫描。
数字显示的洗衣机时控电路的原理说明
数字显示的洗衣机时控电路的原理说明
原理说明
作品:具有数字显示的洗衣机控制电路
本电路由倒数计数电路模块、倒数时间设置模块、倒数显示模块、脉冲信号产生模块、电机控制模块及其他模块组成。
首先,由NE555组成1秒脉冲产生在振荡器。
由两块74192构成“秒”的个位和十位的倒数,再由一块74192组成“分钟”倒数,再通过对这三块192的连接使之能从0~9整数分钟内任意倒数计时。
再用3块4511(或7447)数码管驱动芯片和数码管来实现倒数计时的时间显示。
尔后再由一块74160通过与“分钟”的192芯片构成预置复位法来对倒数时间进行设置,来达到对电机工作时间的设置。
然而为了使得用户设定的工作时间结束后电机倒数停止于“0”且电机停止工作,所以再通过构成组合逻辑电路对4511的电平输出进行检测,来达到4511对组合逻辑电路的输出达到一定条件时,断开对芯片的脉冲输入,从而达到计数器“停0”和停止电机工作。
其次,电机的控制电路主要由74138对“秒”芯片192的十位输出进行编码,在通过组合逻辑电路来控制电机的正转20秒,停10秒再反转20秒(实际中控制继电器)。
值得一提的是,正转和反转的指示灯也是通过138的输出来实现的。
最后,本电路还有电机停止亮灯提示和洗衣机机盖开启暂停工作的功能(创新)。
前者是通过组合逻辑电路对三片4511编码后的输出进行监测,当达到设定的条件时,也就是电机停止时,灯亮。
后者是在脉冲输入端加上一个开关,当用户没有盖上洗衣机的盖子时,也就是开关没闭合时,电机不工作,只有盖上电机后才能开始工作。
工作过程中可打开盖子暂停电机的运作。
此创新处于对用户的安全考虑,且完全符合了低成本,高作用的理念。
具有数字显示的洗衣机时控电路
一、设计题目及要求(一)设计题目:具有数字显示的洗衣机时控电路(二)设计要求:1、洗衣机工作时间可在1~15分钟任意设定(正分钟数);2、规定电动机运行规律为正转20s.停10s.反转20s.停10s.再正转20s,以后反复运行;3、要求能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转;4、电机正转和反转要有指示灯指示二、设计过程及内容(一)设计方案:(1)首先设计一个1465进制的分频器1465count, 用3个74161构成,将1465 HZ的时钟脉冲分频为1HZ,来实现1秒的频率作时钟信号。
(2)设计一个可产生六十进制进位信号模块count60,用2个74161构成,每六十个时钟信号产生一个进位信号。
,实现1分钟的频率作时钟信号。
(3)设计一个控制灯的模块deng,在六十秒周期中前二十秒灯zheng亮(表示正转),再十秒灯ting亮(表示停),再有二十秒灯fan亮(表示反转)再十秒灯ting亮(表示停)。
(4)设计一个模块jishiqi,完成“洗衣机工作时间可在1~15分钟任意设定(正分钟数);能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转的任务。
用减法计数器74191使分钟数自动减1,另外要用到扫描显示电路,将分钟的个位和十位上的数据分别用两个数码管进行显示,用以显示倒计时,显示机器的剩余工作时间。
数码管显示电路用门电路和BCD—七段7449显示器构成。
74191和数码管显示电路之间需加一个将四位二进制数转换八位二进制数以实现十位和个位显示的模块date。
(二)设计模块(1)1465进制计数器将3个74161用整体置数法制成1465进制的分频器1465count,将1465 HZ的时钟脉冲分频为1HZ,来实现1秒的频率作时钟信号。
另加一与门和或门用于避免竞争冒险现象,具体连接如图:仿真波形如图:(2)六十进制模块60count将2个74161和1个与门用整体制数法构成六十进制计数器60count,每六十个时钟信号产生一个进位信号。
洗衣机的简易控制电路设计
2008—2009学年第二学期《数字电子技术课程设计》设计报告专业班级电气07-1姓名学号开课系室电工电子学教学中心设计日期 2009年7月4日~7日洗衣机的简易控制电路设计一、设计任务及要求:洗衣机是家庭常用电器,一般可以有多种工作模式可供选择。
在此要求设计具有两种工作模式的简易洗衣机控制电路,具有复位、模式设置、洗衣时间预置、启动、暂停功能,并能显示洗衣机的工作状态(如洗涤时间倒计时,电动机的正反转、暂停)。
(一)具体要求:1、设置为复位开关S0,开关状态S0=1时,对系统状态进行复位,计数器清零。
S0=0时,进入模式选择。
2、设置模式选择开关S1。
开关状态S1=0时,执行洗衣模式一,控制洗衣机的电机按照图1的规律循环运转;S1=1执行洗衣模式二,控制洗衣机的电机按照图2规律循环运转。
图1 洗衣模式一图2 洗衣模式二3、洗衣时间预置键K1和K2。
当S1=0,S2=0时,预置模式一的洗衣时间;当S1=1,S2=0时,预置模式二的洗衣时间。
在时间预置功能下,按一下K1按键洗衣时间增加1分钟(一个洗衣循环),按一下K2按键洗衣时间减少1分钟(一个洗衣循环),预置时间范围为0-9分钟。
4、设置启动开关S2。
洗衣模式设定后,开关状态S2=1时,按照设定的洗衣模式启动电机运转。
在洗衣过程中分别用3个LED灯来显示电动机的正转、翻转,间歇等状态。
5、设置暂停开关S3。
当开关状态S3=1时,洗衣暂停,计数器状态、显示均保持,并点亮LED灯显示暂停状态。
S3=0时正常运转。
(二)输入输出资源说明:1、输入信号:四个控制开关S0、S1、S2、S3(开关拨下时S =0,开关拨上时S =1),两个按键K1和K2(按下时K=1,松开时K=0)。
2、外部输入脉冲信号时钟源CP (10Hz ),经适当分频后供计数器使用。
3、输出3组显示译码信号(每组7个输出端),分别接到外部的三个七段数码管M1、M2,M3上(共阴极接法)。
洗衣机控制电路设计 电子电路设计课程设计 毕业设计
洗衣机控制电路设计电子电路设计课程设计毕业设计洗衣机控制电路设计摘要本设计是基于数字电路定时器的洗衣机简易控制电路。
通过预置洗衣机的洗涤时间来自动的正反转和暂停,并且用不同的指示灯来表示洗衣机的正逆暂停三状态。
当到达定时时间后,会自动报警,发出蜂鸣声。
同时,用两位数码管来显示预置时间和洗涤剩余时间。
具有良好的用户界面。
电路主要分为显示电路、秒脉冲发生电路、计时电路、报警状态电路和洗衣机正反转控制电路。
显示电路使用的是两个单位的共阴极八段数码管,使用两片74ls48作为数码管译码芯片。
秒脉冲发生电路采用了使用成熟广泛的555定时器芯片,通过电脑USB插口供电,产生秒信号,作为各芯片的时钟信号。
计时电路使用的是四片十进制可逆计数器74ls192芯片,分别设计为十进制和六进制电路,用于完成时间的定时、计时功能。
报警电路和状态显示电路使用的是简单的74ls04非门、74LS21双4输入与门、74LS125三态门组成的逻辑组合电路,分别控制蜂鸣器和发光二极管,来实现报警和状态显示。
实验通过电脑软件仿真和实物验证,具有良好的可行性,能够稍加修改后用于洗衣机控制电路中,能满足一般的要求。
关键词:洗衣机控制;数字电子控制;定时器目录摘要 (2)1 设计要求分析与方案选择 (4)1.1 设计要求分析 (4)1.1.1洗衣机控制电路原理及电路设计1.2 方案选择 (7)1.2.1 单片机方案 (7)1.2.2 数字电路方案 (7)2 方案总体框图设计 (7)2.1 方案总体设计思路 (7)2.1.1 各模块器件选择 (8)2.1.2 模块总体电路实现 (8)2.2 方案总体电路图 (8)3 电路各模块设计与参数选择 (12)3.1 秒脉冲电路 (12)3.2 计时电路 (14)3.3 显示电路 (16)3.4 状态显示电路 (18)4 仿真调试分析 (22)4.1 仿真软件介绍 (22)4.2 仿真总体电路图分析 (22)4.3 仿真结果分析 (24)5 电路实物验证结果 (24)5.1 实物总体图片和介绍 (24)5.2 实物功能演示 (24)6 方案的分析与总结体会 (24)6.1 方案选择总结与分析 (25)6.2 仿真调试总结与体会 (25)6.3 实物制作总结与体会 (26)6.4 设计优点分析 (26)6.5 设计不足分析 (26)7 参考文献 (26)8 附录 (27)1 设计要求分析与方案选择1.1 设计要求分析设计制作一个洗衣机控制器,具有如下功能:1)采用中小规模集成芯片设计洗衣机的控制定时器,控制洗衣机电机作如下运转:2)洗涤电机用两个继电器控制。
有数字显示的洗衣机时控电路的设计
论文题目:具有数字显示的洗衣机时控电路的设计目录摘要 (I)Abstract (II)1 引言 (1)2 AT89C2051单片机简介 (1)2.1 AT89C2051主要特点 (1)2.2 AT89C2051单片机引脚功能 (1)2.3 芯片擦除 (2)2.4振荡器特性 (2)3硬件电路设计 (2)3.1 功能介绍 (2)3.2工作原理 (3)3.3 操作说明 (3)3.4 注意事项 (4)4 软件编制 (4)4.1 指令使用限制 (4)4.2 闪电存储器的编程 (4)4.3 程序设计 (4)5 结论与展望 (5)参考文献 (6)附录 (7)具有数字显示的洗衣机时控电路的设计设计者:王进峰摘要AT89C2051是ATMEL公司生产的廉价高性能8位单片机,可防止程序的非法读出,安全性能好,此单片机具有80C31单片机所有的功能。
本篇文章就是利用AT89C2051单片机实现洗衣机工作过程的时间控制,通过增加外围电路实现洗衣程序时间上的任意设置,同时通过LED数码显示管实现工作时间的倒计时显示,最后由开关管来实现洗涤和脱水电路的通和断。
结果显示,该电路与传统的洗衣机时控电路相比,具有电路简单、洗衣程序和参数的调整灵活、工作过程的时间数码显示,声音报警等功能。
关键词:单片机、计时器、LED数码显示管、蜂鸣器AbstractAT89C2051 is a low-cost but high-performed 8 single-chip computer production of ATMEL Corporation, which could prevent illegal procedures read, have good safety performance and all the functions of 80C31 single-chip computer. The design, through using AT89C2051 single-chip computer to realize time control of process time of washing machines, increasing external circuit to achieve arbitrary time-set of washing procedure and realizing the time countdown display by LED digital show tubes at the same time, is to achieve connection and cut of the dehydration circuit and washing by switching tubes. Results show that the circuit, compared with traditional time circuits of washing machines, has functions like simple circuit, flexible washing process and parameter adjustment, digital time display of work procedure and sound warning.Keyword: single-chip-computer, timer, LED digital show tubes, buzzer1 引言我国洗衣机业经过20多年的发展,已成为一个较成熟的产业。
洗衣机控制电路设计
题目洗衣机控制器题目: 洗衣机控制电路设计一、设计要求1.洗衣时间设定范围:1—99分钟2.显示漂洗时间(显示分钟就好),时间到后会自动报警3.漂洗时(用发光二极管代替电动机)显示正反转4.定时时间内电机工作要求如下:启动正转20s 暂行10s 反转20s 暂行10s 停止?定时未到二、总体设计原理对于自动控制,使用单片机是最简单的,但是对于普通的洗衣机控制使用一般器件也可完成。
我们设计的洗衣机控制电路主要采用CMOS和TTL集成器件,如计数器,锁存器,与门,非门,555定时器等构成洗衣机控制电路。
洗衣机的洗衣流程如下:定时(排水加水)漂洗(脱水排水)(时间到)警报并停机。
电路设计框图如下:三、各单元设计与分析1、多谐振荡器多谐振荡器是一种自激振荡器,产生振荡信号,用于计时。
在许多场合对多谐振荡器的频率稳定性要求严格,一般采用石英晶体振荡器。
但是由于洗衣机对时间的精确度要求不是很高,所以我们采用555定时器接成的1HZ多谐振荡器。
电路如图:图中是把555定时器接成施密特触发器,在用施密特触发器接成多谐振荡器的方法接成。
其中 R1=R2=48KΩ , C1=0.01μ , C2=10μ把数据带入T=(R1+2R2)C2ln2 ,得 T=1s即周期为一秒,输出 1HZ 的信号。
3号管脚即为脉冲信号输出管脚。
2、时钟电路时钟电路采用计数器对输入的1HZ振荡信号进行计数,从而实现计时。
用十进制计数器接成两个60进制计数器,分别用于计秒和计分。
因为整个洗衣时间不会超过1小时,所以不用计小时。
十进制计数器有很多中,如74LS90, 74LS290, 74160等。
这里我们使用的是十进制可逆计数器 74LS192。
它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如右下图所示:(注:管脚名或许有出入,不过管脚号都是对应相同功能的管脚)图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端, (即下图的CLR)为清除端,Q0、Q1、Q2、Q3为数据输出端。
洗衣机时控电路EDA课设正确程序
洗衣机时控电路1.设计目的科技的进步多少体现在了日常生活必需品的进步,本次课设目的是设计一个与现实生活中很多家庭使用的洗衣机具有相同功能的时序控制的洗衣机。
熟练掌握QuartusⅡ软件,编写程序,绘制原理图,可进行编译及功能仿真,实现软件上的洗衣机功能。
2.设计要求和任务设计要求和任务:任务:设计一个洗衣机时控电路。
要求:能过通过时间控制洗衣机的工作状态,通过指示灯显示三种洗衣机状态,即正转,暂停,反转。
并能循环控制电动机运行规律为正转20s.停10s.反转20s.停10s.再正转20s,以后反复运行。
3.总体设计思路与原理描述洗衣机时控电路,主要思想就是时间控制洗衣机的工作状态,设计该电路得有如下功能,时间预置,时间显示,状态控制,减法计数等,所以电路大概分一下5部分:(1)时间设置(2)数码管显示电路(3)时序控制电路(4)预置时间和编码电路(5)译码器电路顶层文件用原理图来实现,通过创建各个子模块的原件,在顶层文件中按照底层文件实现的功能进行连线就可以得到完整的顶层原理图。
层次图:定时启动正转20s 暂停10s 反转20s 暂停10s定时未到停止图3.1设计层次图4.分层次方案设计及代码描述4.1设置时间library ieee;use ieee.std_logic_1164.all;entity settime isport(load:in std_logic;k:in std_logic_vector(7 downto 0);c:buffer std_logic_vector(7 downto 0)); end settime;architecture ggh of settime isbeginprocess(load)beginif (load='1') thencase k(3 downto 0) iswhen "0000"=>c<="00000000";when"0001"=>c<="00000001";when"0010"=>c<="00000010";when"0011"=>c<="00000011";when"0100"=>c<="00000100";when"0101"=>c<="00000101";when"0110"=>c<="00000110";when"0111"=>c<="00000111";when"1000"=>c<="00001000";when"1001"=>c<="00001001";when"1010"=>c<="00010000";when"1011"=>c<="00010001";when"1100"=>c<="00010010";when"1101"=>c<="00010011";when"1110"=>c<="00010100";when"1111"=>c<="00010101";when others=>c<="XXXXXXXX";end case;elsec<="11111111";end if;end process;end ggh;4.2数码管显示电路library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity showtime is port(remain_time: in std_logic_vector(7 downto 0); a,b,c,d,e,f,g: out std_logic);end entity;architecture rtl of showtime issignal temp:std_logic_vector(6 downto 0);beginprocess(remain_time)begincase remain_time(3 downto 0) iswhen "0000"=>temp<="0111111";when"0001"=>temp<="0000110";when"0010"=>temp<="1011011";when"0011"=>temp<="1001111";when"0100"=>temp<="1100110";when"0101"=>temp<="1101101";when"0110"=>temp<="1111101";when"0111"=>temp<="0000111";when"1000"=>temp<="1111111";when"1001"=>temp<="1101111";when others=>temp<="0000000";end case;end process;a<=temp(6);b<=temp(5);c<=temp(4);d<=temp(3);e<=temp(2);f<=temp(1); g<=temp(0);end rtl;4.3时序控制电路library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shixu isport(cp,en,rd:in std_logic;q1,q2:out std_logic);end shixu;architecture rtl of shixu isbeginprocess(cp)variable wash_time:integer range 0 to 19;variable wait_time:integer range 0 to 9;variable state:std_logic;variable wash_time2:integer:=21;variable wait_time2:integer:=9;beginif(en='0') then wash_time:=19;wait_time:=9;state:=state;end if;if(en='0')then wash_time2:=21;q1<='0';q2<='0';else if(cp'event and cp='1')then if(rd='1')then if(wash_time>0)thenwash_time2:=20;state:=not state;end if;end if;end if;if(wash_time=0)thenq1<='0';q2<='0';elsif(state='0')thenq1<='1';q2<='0';elsif(wait_time>0)thenq1<='0';q2<='1';else q1<='0';q2<='0';end if;end if;end process;end rtl;4.4预置时间和编码电路library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter1 isport(clk,start:in std_logic;k:in std_logic_vector(7 downto 0);time_remain:buffer std_logic_vector(7 downto 0); time_is_up:out std_logic);end counter1;architecture ttl of counter1 isbeginprocess(clk)variable time_second:integer:=60;variable time_second1:integer:=0;beginif (clk'event and clk='1')thenif(start='1')thentime_remain<=k;time_second:=60;time_second1:=0;if(time_second1=0)thenif(time_remain(3 downto 0)>0)thentime_remain(3 downto 0)<=time_remain(3 downto 0)-1;time_second:=59;time_is_up<='1';elseif(time_remain(7 downto 4)>0)thentime_remain(7 downto 4)<=time_remain(7 downto 4)-1;time_remain(3 downto 0)<="1001";time_second:=59;time_is_up<='1';end if;end if;else if(time_second=0)thenif(time_remain=0)then time_is_up<='0';end if;end if;end if;end if;end if;end process;end ttl;4.5译码器library ieee;use ieee.std_logic_1164.all;entity decoder isport(q1,q2:in std_logic;rev,run,pause:out std_logic);end decoder;architecture rtl of decoder issignal choose:std_logic_vector(1 downto 0);beginchoose(1)<=q1;choose(0)<=q2;process(choose)begincase choose iswhen "00"=>rev<='0';run<='0';pause<='1'; when "10"=>rev<='0';run<='1';pause<='0'; when "01"=>rev<='1';run<='0';pause<='0'; when "11"=>rev<='1';run<='1';pause<='0'; end case;rev<=q2;run<=q1;pause<=not(q1 or q2); end process;end rtl;。
洗衣机控制器EDA编程
EDA实验考试报告班级:电子111班学号:110832姓名:谢瀚东洗衣机控制器一、实验要求:1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。
二、设计提示:1、设计20秒、10秒定时电路。
2、电路输出为“正转”、“反转”、“暂停”三个状态。
3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。
三、实验设计思路:四、引脚配置仿真波形:实验程序清单:顶层文件library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity xiyiji isPort( timeset:in std_logic_vector(7 downto 0);Start:in std_logic;Clk:in std_logic;clk1:in std_logic;Scanclk:in std_logic;scanout:out std_logic_vector(1 downto 0);ledout:out std_logic_vector(6 downto 0);soundout:out std_logic;run,rerun,pause:out std_logic);end xiyiji;architecture Behavioral of xiyiji isSignal a1:std_logic;Signal a2:std_logic_vector(7 downto 0);Component showtime ISPORT (scanclk: IN STD_LOGIC;Timestill:in std_logic_vector(7 downto 0);ledout : OUT std_logic_vector(6 downto 0);scanout : out std_logic_vector(1 downto 0));END component showtime;Component counter isPort(clk,start:in std_logic;timeset:in STD_LOGIC_VECTOR(7 downto 0);timestill:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0);timestop:out std_logic);End component counter;Component zhuangtai isport(en,clk,timestop:in std_logic;Run:out std_logic;Rerun:out std_logic;Pause:out std_logic);end component zhuangtai;component sound isport(timestop:in std_logic;clk1:in std_logic;soundout:out std_logic);end component sound;beginU1:zhuangtaiPort map(en=>start,clk=>clk,timestop=>a1,run=>run,rerun=>rerun,pause=>pause); U2:counterPort map(clk=>clk,start=>start,timeset=>timeset,timestill=>a2,timestop=>a1);U3:showtimePort map(scanclk=>scanclk,timestill=>a2,ledout=>ledout,scanout=>scanout);U4:soundport map(timestop=>a1,clk1=>clk1,soundout=>soundout);end Behavioral;声音模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity sound isport(timestop:in std_logic;clk1:in std_logic;soundout:out std_logic);end sound;architecture Behavioral of sound isbeginprocess(timestop,clk1)beginif timestop='1' thensoundout<=clk1;else soundout<='0';end if;end process;end Behavioral;状态模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity zhuangtai isport(en,clk,timestop:in std_logic;Run:out std_logic;Rerun:out std_logic;Pause:out std_logic);end zhuangtai;architecture Behavioral of zhuangtai istype state is (s0,s1,s2,s3,s4);signal nowstate,nextstate:state;signal c1,c2:std_logic;signal en1,en2:std_logic;signal cnt1:std_logic_vector(4 downto 0):="10010";signal cnt2:std_logic_vector(3 downto 0);beginprocess(en1,en2,clk)beginif(clk'event and clk='1') thenif (en1='1') then c2<='0';if cnt1="00000" then c1<='1';else cnt1<=cnt1-'1';c1<='0';end if;cnt2<="1000";elsif (en2='1') then c1<='0';if cnt2="0000" then c2<='1';else cnt2<=cnt2-'1';c2<='0';end if;cnt1<="10010";end if;end if;end process;process(nowstate,c1,c2,en,timestop)beginif timestop='1' thenrun<='0';rerun<='0';pause<='0';elsecase nowstate iswhen s0=>Run<='0';Rerun<='0';Pause<='0';if en='1' then nextstate<=s1;else nextstate<=s0;end if;when s1=>Run<='1';Rerun<='0';Pause<='0';en1<='1';en2<='0'; if timestop='1' then nextstate<=s0;elsif c1='1' then nextstate<=s2;else nextstate<=s1;end if;when s2=>Run<='0';Rerun<='0';Pause<='1';en1<='0';en2<='1'; if timestop='1' then nextstate<=s0;elsif c2='1' then nextstate<=s3;else nextstate<=s2;end if;when s3=>Run<='0';Rerun<='1';Pause<='0';en1<='1';en2<='0'; if timestop='1' then nextstate<=s0;elsif c1='1' then nextstate<=s4;else nextstate<=s3;end if;when s4=>Run<='0';Rerun<='0';Pause<='1';en1<='0';en2<='1';if timestop='1' then nextstate<=s0;elsif c2='1' then nextstate<=s1;else nextstate<=s4;end if;end case;end if;end process;process(en,clk)beginif (clk'event and clk='1') thenif en='0' then nowstate<=s0;else nowstate<=nextstate;end if;end if;end process;end Behavioral;计时模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity counter isPort(clk,start:in std_logic;timeset:in STD_LOGIC_VECTOR(7 downto 0);timestill:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0);timestop:out std_logic:='0');end counter;architecture Behavioral of counter isbeginprocess(clk)variable time_second:integer:=0;beginif (clk'event and clk='1')thenIf time_second>0 and start='1' thentime_second:=time_second-1;end if;if(start='0') thentimestill<=timeset; time_second:=0;elsif(time_second=0) thenif(timestill(3 downto 0)>0)thentimestill(3 downto 0)<=timestill(3 downto 0)-'1';time_second:=60; timestop<='0';elsif (timestill(7 downto 4) >0 and time_second=0)thentimestill(7 downto 4) <=timestill(7 downto 4)-'1';timestill(3 downto 0)<="1001";time_second:=60;timestop<='0';elsif(timestill="00000000")thentimestop<='1';end if;end if;end if;end process;end Behavioral;显示模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity showtime isPORT (scanclk: IN STD_LOGIC;Timestill:in std_logic_vector(7 downto 0);ledout : OUT std_logic_vector(6 downto 0);scanout :out std_logic_vector(1 downto 0));end showtime;architecture Behavioral of showtime isSIGNAL hex: std_logic_vector(3 downto 0);SIGNAL led: std_logic_vector(6 downto 0);SIGNAL scan: std_logic_vector(1 downto 0):="00";beginPROCESS (scanclk)BEGINIF (scanclk'EVENT AND scanclk ='1') thenif scan="00" or scan>="10" then scan<="01";else scan<=scan+'1';end if;end IF;END PROCESS;Scanout<=scan;Hex<=timestill(7 downto 4) when scan="01" elsetimestill(3 downto 0);Ledout<= not led;with hex selectled<="1111001" when "0001","0100100" when "0010","0110000" when "0011","0011001" when "0100","0010010" when "0101","0000010" when "0110","1111000" when "0111","0000000" when "1000","0010000" when "1001","1000000" when others;end Behavioral;实验总结与收获:。
洗衣机自动控制电路 数字逻辑课程设计
《数字逻辑》课程设计报告题目:洗衣机自动控制电路目录1 设计任务书2 总体方案设计2.1 功能和逻辑需求分析2.2 总体方案设计3 单元模块设计3.1分倒数单元电路设计3.2 秒倒数单元电路设计3.4 计数器单元电路设计3.2 状态译码器单元电路设计3.5总体电路设计(画出总体电路图)4 电路调试与测试4.1分倒数单元模块4.2秒倒数单元模块4.3计数器单元模块4.4状态译码器单元模块4.5全部器件5 总结1 设计任务书洗衣机自动控制电路为洗衣机设计一控制电路,当洗衣机控制开关打开后,电动机先正转20S,然后暂停10S,随后反转20S,再然后暂停10S;如果定时时间没到,则开始循环,若定时时间到,则停机断电。
定时时间设定范围为0~60分,显示分秒的倒计时。
用LED指示灯表示电机的正转、反转和暂停状态。
2 总体方案设计(1)、计时器:由两片芯片来分别完成分、秒的倒计时功能,分芯片提供预置功能,两片芯片的模均为60.(2)、计数器:通过计数来完成输出洗衣机四种状态(正转 > 暂停 > 反转 > 暂停 > 正转)的切换(3)、状态译码器:将输入的四种状态转换为三种状态(正转、暂停、反转)(4)、所有芯片都使用每秒一脉冲的统一脉冲,实现同步。
2.1 功能和逻辑需求分析1)、计时器:①由于平常用的基础器件无法简单做到倒数功能,所以决定用GAL器件,通过编写ABEL-HEL并在GAL器件上实现。
②计数器的分和秒分别需要8位输出(4位作为十位,另外4位作为个位,每4位二进制数在数码管上显示为一个十进制的数。
)③所以计数器的分和秒必须分为两个GAL器件,但同时秒需要有一个退位输出信号,分需要一个抑制秒继续倒数的输出信号,所以每个芯片需要9个输出端。
④总结:计数器需要两个GAL22v10分别实现分和秒的倒数。
2)、按周期显示正、反灯和暂停灯:①该功能仍然需要GAL器件来实现,首先需要内部能自己计数,电动机先正转20S,然后暂停10S,随后反转20S,再然后暂停10S,按20+10+20+10=60秒为一周期,所以需要6个输出来计数(作为内部计数,可以不必显示)。
洗衣机时控电路
燕山大学EDA课程设计报告书题目:洗衣机时控电路(注:此文件应以同学学号为文件名)一、设计题目及要求1.洗衣机工作时间可在1~15 分钟内任意设定(整分钟数);2.规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,分钟计时器自动减1,直到显示为“0”时电机;4. 停止运转,停运后发出响两秒停一秒的蜂鸣提示;5.电机正转、反转和停转要有指示灯指示,并要有秒数正计时显示。
二、设计过程及内容(一)设计方案1、首先设计一个732进制的分频器frequency模块,来产生1秒的频率做时钟信号。
用3个74160构成,采用整体置数法,将732HZ的时钟脉冲分频为1HZ。
2、设计一个time模块完成“洗衣机工作时间可在1~15分钟任意设定(整分钟数);能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转”的任务。
用2个减法计数器74190使分钟数自动减1,其中一个控制个位,另外一个控制十位。
3、十进制向二进制转换电路模块:设置时间的时候考虑日常生活习惯用十进制数,而数字电路中减法器和显示电路中都使用的是二进制数字,因此设计十进制向二进制转换的电路,用以将设置的0~15分钟十进制数字转换为电路使用的二进制数字,用2片74148实现。
4、设计一个灯控zhuan模块,用于指示洗衣机正转、反转和停转的状态。
在六十秒的周期中前20秒灯L1(指示正转)亮,再十秒灯L3(指示停转)亮,再有20秒灯L2(指示反转)亮,再十秒灯L3亮。
其中用两个74160构成60进制,并采用1个74138译码器实现对指示灯的控制。
5、设计一个display模块,用于对正转、反转和停转进行秒数正计时。
6、设计一个fengming模块,用于完成“停止运转后发出响两秒停一秒的蜂鸣提示”这一要求。
用一个74160构成三进制电路完成蜂鸣器控制。
洗衣机时控电路eda课程设计报告书
燕山大学EDA课程设计报告书题目:洗衣机时控电路(注:此文件应以同学学号为文件名)一、设计题目及要求1.洗衣机工作时间可在1~15 分钟内任意设定(整分钟数);2.规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,分钟计时器自动减1,直到显示为“0”时电机;4. 停止运转,停运后发出响两秒停一秒的蜂鸣提示;5.电机正转、反转和停转要有指示灯指示,并要有秒数正计时显示。
二、设计过程及内容(一)设计方案1、首先设计一个732进制的分频器frequency模块,来产生1秒的频率做时钟信号。
用3个74160构成,采用整体置数法,将732HZ的时钟脉冲分频为1HZ。
2、设计一个time模块完成“洗衣机工作时间可在1~15分钟任意设定(整分钟数);能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转”的任务。
用2个减法计数器74190使分钟数自动减1,其中一个控制个位,另外一个控制十位。
3、十进制向二进制转换电路模块:设置时间的时候考虑日常生活习惯用十进制数,而数字电路中减法器和显示电路中都使用的是二进制数字,因此设计十进制向二进制转换的电路,用以将设置的0~15分钟十进制数字转换为电路使用的二进制数字,用2片74148实现。
4、设计一个灯控zhuan模块,用于指示洗衣机正转、反转和停转的状态。
在六十秒的周期中前20秒灯L1(指示正转)亮,再十秒灯L3(指示停转)亮,再有20秒灯L2(指示反转)亮,再十秒灯L3亮。
其中用两个74160构成60进制,并采用1个74138译码器实现对指示灯的控制。
5、设计一个display模块,用于对正转、反转和停转进行秒数正计时。
6、设计一个fengming模块,用于完成“停止运转后发出响两秒停一秒的蜂鸣提示”这一要求。
用一个74160构成三进制电路完成蜂鸣器控制。
数电洗衣机时控电路课程设计报告
电子技术课程设计报告设计题目:洗衣机时控电路院(部):专业班级:学生姓名:学号:指导教师:目录洗衣机控制电路 (1)一、绪论 (1)1.1设计任务 (1)1.2 要求 (1)二、洗衣机控制电路分析 (2)2.1电路工作总体框图 (2)2.2六十进制秒计数器和一百进制分计数器 (3)2.3预置时间 (7)2.4循环电路 (8)三、总电路 (11)3.1正转仿真 (11)3.2反转仿真 (12)3.3停止仿真 (13)四、结束语与心得体会 (14)参考文献 (15)洗衣机控制电路摘要:本设计是基于数字电路定时器的洗衣机简易控制电路,着眼于目前普遍应用在洗衣机控制系统上的正反转动,设计了一个普通洗衣机的主要控制电路,定时器,它按照一定的洗涤程序控制电机做正向和反向转动,并且运用数码管来显示预置时间和洗涤剩余时间。
运用仿真电路实现其运转功能。
关键词:洗衣机数字电路proteus 仿真电路设计一、绪论1.1设计任务开始前我们通过控制三向开关来对其运行时间进行预置即洗涤时间设置,开始运转后,洗涤时间显示进行减计数功能,当秒计数器的个位减为零时就向十位借数,十位减一(分计时器同理),而在同时通过并行输出寄存器稳定输出秒十位数据,再经过门电路来控制洗衣机运行状态的显示灯,当设置的洗涤时间到后,显示器显示清零。
运转状态:定时转动→正转→暂停→反转→暂停→停止↑↓——定时未到———1.2 要求首先设计让倒计时显示器按规律运行的电路,再通过倒计时电路的信号来控制交通灯按4 种状态循环变换。
电源电路采用9V 变压器、整流桥和稳压管,使220V 的交流电转换为5V 的直流电。
4Hz 方波脉冲由555 定时器产生,再由74LS193 实现4 分频,最终输出1Hz 的脉冲信号;用两块74LS193 实现倒计时,一块显示十位,一块显示个位,用2 个D 触发器74HC74实现30s,20s,5s 时间的转换;利用倒计时电路控制4 个状态。
孙丽丽(16)数字显示的洗衣机时控电路
数字电子技术课程设计报告(论文)具有数字显示的洗衣机时控电路姓名:孙丽丽专业:电子信息工程班级:06-2学号:320608030216院系:电气工程与自动化河南理工大学二零零七年一月十九日一、简述洗衣机作为人们日常生活常见的一种家用电器,已普遍得到人们的喜爱。
为了帮助我们了解洗衣机的工作原理,学会利用数字电路知识设计自动控制装置的方法,配合部分模拟负载,本课题设计一个简单的洗衣机时控电路。
电路框图如图1所示。
图1 洗衣机控制电路框图二、设计任务和要求1、洗衣机的工作时间t可以在1-9分钟内任意设定。
2、规定电动机运行的规律为:正转20秒---停10秒---反转20秒---停10秒,如此周而复始地循环下去。
3、能用数字显示洗衣机的剩余工作时间。
当电动机开始运转时,显示器显示出洗衣机的工作时间,以后每当电动机运行1分钟,显示器的数字立即自动减1,知道显示的数字为零是,电动机自动停止运行。
4、模拟负载电路的要求:1)电动机正转时,要求蜂鸣器发出断续的的鸣叫声,其频率为2KHZ;2)电动机反转时,要求蜂鸣器发出断续的的鸣叫声,其频率为500HZ;3)电动机正转时,则要求蜂鸣器无声2;4)设计一个低频振荡器,其振荡频率约为1,以实现断续的鸣叫声。
三、可选用的器材1)数字电子技术实验装置。
2)直流稳压电源。
3)集成器件CD4013,CD4028,CD4069,CD4071,CD4081,CD4510,CD4511,,CD4518,CD7555,4)三极管,蜂鸣器。
5)电阻,电容等。
四、设计方案提示1、秒脉冲发生器由7555组成多谐振荡器,振荡周期=0.7(R1+2R2)C,其电路及参数如图2所示。
图2 秒脉冲发生器2、六十进制加法计数器该部分由双十进制同步计数器CD4518组成,其功能是每次计数至六十时,将其送到减法器,使减法器完成减法功能,此外,六十进制的高位输出还为控制电路提供了控制信号。
六十进制加法器的电路如图3所示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计任务书
学生姓名:张正专业班级:信息1002 指导教师:卢珏工作单位:信息工程学院
题目: 电子式洗衣机时间控制器的电路设计
初始条件:已知条件
普通洗衣机的控制电路一般具有对进水阀、排
水阀、洗涤程序和甩干驱动的控制功能。
本课程设
计,要求用中、小规模集成电路芯片实现洗衣机的
洗涤程序电路控制功能。
电路组成框图如图1所示:
图1电子式洗衣机电路控制定时器电路框图
要求完成的主要任务:
(1)设计任务
根据已知条件,设计、仿真一个电子式洗衣机的电路控制定时器。
(2)设计要求
①洗衣机洗涤电机动作程序:(送入预置时间后即开始运转)
定时时间到
定时启动)))10S)停止
②用继电器控制电机(电机用LED代替,如“红”表示正转,“绿”表示反转)。
③用2位数码管显示洗涤的预置时间(分钟),按倒计时方式显示,直到时间到而停机。
停机后发出音响报警提示。
(预置时间0—10分钟或自定)
④选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理,最后用EWB软件完成仿真,组装调试设计电路,并按规定格式写出课程设计报告书。
时间安排:
1、 2011 年 12 月 23 日分班集中,作课设具体实施计划与课程设计报告格式的要求说明,课设答疑问地点:鉴主13楼信息工程教研室。
2、 2011 年 12 月 26日至 2012 年 2 月 11 日完成设计、仿真、组装调试。
3、 2012 年 2 月 12 日完成课程设计报告与答辩。
指导教师签名:年月日
系主任(或责任教师)签名:年月日。