多进制数字振幅调制(MASK)系统
合集下载
相关主题
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
--计数器 --计数器 --并行数据寄存器 --8位DAC数据寄存器
process(clk) --此进程完成基带信号的串并转换, --完成4位并行数据到8位DAC数据的译码 begin if clk'event and clk='1' then if start='0' then q<=0; elsif q=0 then q<=1;xx(3)<=x; if xx(3)='1' then yy<=xx&"1111"; --if语句完成4位并行数据到8位DAC数据转换 elsif xx(2)='1' then yy<=xx&"1011"; elsif xx(1)='1' then yy<=xx&"0111"; elsif xx(0)='1' then yy<=xx&"0011"; else yy<=xx&"0000"; end if; elsif q=2 then q<=3;xx(2)<=x; elsif q=4 then q<=5;xx(1)<=x; elsif q=6 then q<=7;xx(0)<=x; else q<=q+1; end if; end if; end process;
Ts
M 1
MASK信号的产生
wenku.baidu.com
MASK信号与二进制ASK信号产生的方法相 同,可利用乘法器实现。 解调也与二进制ASK信号相同,可采用相干 解调和非相干解调两种方式。
M进制振幅调制方框图
实现多电平调制的方框原理如上图所示,它与二进制振 幅调制的方框原理非常相似。不同之处是在发信输入端 增加了2-M电平变换,相应在接收端应有M-2电平变 换。
已调波一般可表示为
g(t)是高度为1、宽度为TS的矩形脉冲,且有 Pi 1 i 0 为易于理解,将波形上图 所示。显然图(c)中各 波形的叠加便构成了图(b)的波形。 由上图可见,M进制ASK信号是M个二进制ASK信 号的叠加。 那么,MASK信号的功率谱便是 M个二进制ASK信 号功率谱之和。 因此,叠加后的MASK信号的功率谱将与每一个二 进制ASK信号的功率谱具有相同的带宽。 2 所以其带宽 BM 2 f s
MASK调制电路VHDL程序与仿真
MASK调制方框图
FPGA
clk start
分频器
ASK调制
D/A
调制信号
基带信号
串/并
译码
MASK调制电路符号
MASK调制VHDL程序与仿真
--文件名:MASK --功能:基于VHDL硬件描述语言,对基带信号进行MASK调制 --说明:这里MASK中的M为4 --最后修改日期:2004.2.13 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity MASK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic_vector(7 downto 0)); --8位DAC数据 end MASK; architecture behav of MASK is
另外该电路的取样判决器有多个判决电平,因此多电平调 制的取样判决电路比较复杂。实际系统中,取样判决电路 可与M-2电平变换合成一个部件,它的原理类似于A/ D 变换器。多电平解调与二进制解调相似,可采用包络解调 或同步解调。 多进制数字振幅调制与二进制振幅调制相比有如下特点: (1)在码元速率相同的条件下,信息速率是二进制的 log2M倍。 (2)当码元速率相同时,多进制振幅调制带宽与二进制相 同。 (3)多进制振幅调制的误码率通常远大于二进制误码率。 当功率受限时,M越大,误码增加越严重。 (4)多进制振幅调制不能充分利用发信机功率。
process(clk) --对8位DAC数据进行ASK调制 begin if clk'event and clk='1' then if start='0' then qq<=0; elsif qq<2 then qq<=qq+1;y<="00000000"; elsif qq=2 then qq<=3;y<=yy; else qq<=0; end if; end if; end process; end behav;
8.12多进制数字振幅调制(MASK)系统
多进制数字振幅调制(MASK)
多进制数字振幅调制又称多电平振幅调制, 它用高频载波的多种振幅去代表数字信息。
左图为四电 平振幅调制,高 频载波有u0(t)、 u1(t)、u2(t)、u3(t) 四种。 振幅为0、1A、 2A、3A,分别 代表数字信息0、 1、2、3或者双 比特二进制输入 信息 00、01、 10、11 进行振 幅调制。
signal q:integer range 0 to 7; signal qq:integer range 0 to 3; signal xx:std_logic_vector(3 downto 0); signal yy:std_logic_vector(7 downto 0); begin
MASK调制程序仿真图及注释
(MASK调制VHDL程序仿真仿真全图)
(MASK调制VHDL程序仿真局部放大图)