实验四简单时序电路
时序逻辑电路实验报告
时序逻辑电路实验报告一、实验目的1. 加深理解时序逻辑电路的工作原理。
2. 掌握时序逻辑电路的设计方法。
3. 掌握时序逻辑电路的功能测试方法。
二、实验环境1、PC机2、Multisim软件工具三、实验任务及要求1、设计要求:要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。
2、实验内容:(1)按要求完成上述电路的功能。
(2)验证其功能是否正确。
四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程)首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。
画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。
然后通过化简卡诺图,得到对应的次态的状态方程;然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。
然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。
接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。
五、实验电路(画出完整的逻辑电路图和器件接线图)六、总结调试过程所遇到的问题及解决方法,实验体会1、设计过程中遇到过哪些问题?是如何解决的?在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。
2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。
实验四集成触发器和用SSI的设计同步时序电路-PPT文档资料
74LS74
2片
74LS00
1片
微动开关 4只
1台
74LS112 2片 74LS04 1片
器件引脚图
74LS112 双下降沿JK 触发器
1CP 1 1K 2 1J 3 1SD 4 1Q 5 1Q 6 2Q 7 GND 8
16 VCC 15 1RD 14 2RD
74LS112 13 2CP
12 2K 11 2J 10 2SD 9 2Q
实验四 集成触发器和用SSI设计同步时序电路
一、实验目的
1.掌握触发器的原理、作用及调试方法; 2.学习简单时序逻辑电路的设计和调试方法。
二、预习要求
根据实验内容,设计出电路,并画出逻辑图,标出管脚。
三、实验原理
1.触发器
SD
S
J
1J
Q
CP
C1
K
1K
Q
RD
R
边沿JK触发器
Qn1JQnKQn
CP下降沿时刻有效
74LS74 双上升沿D 触发器
1RD 1 1D 2 1CP 3 1SD 4 1Q 5 1Q 6 GND 7
74LS74
14 VCC 13 2RD 12 2D 11 2CP 10 2SD 9 2Q 8 2Q
74LS04 六反相器
1A 1 1Y 2 2A 3 2Y 4 3A 5 3Y能测试。
按下表要求观察和记录Q与Q 的状态
表1
SD RD J K CP
Qn+1
Qn=0
Qn=1
1
1
1100 1101 21 1 1 0
31 1 1 1
2. 3人智力抢答电路
QA Q A
QB QB
实验四 实验4 VHDL语言进行简单时序电路——一 JK触发器的设计
实验4 VHDL语言进行简单时序电路——一JK触发器的设计一、实验目的学习在QuartusⅡ下用VHDL语言设计简单时序电路与功能仿真的方法。
二、验仪器设备1、PC机一台2、QuartusⅡ。
三、实验要求1、预习教材中的相关内容,编写出JK触发器的VHDL源程序。
2、用VHDL语言输入方式完成电路设计,编译、仿真。
四、实验内容及参考实验步骤一、设计输入1、开机,进入QuartusⅡ。
2、为本工程设计建立一个文件夹。
3、建立设计文件。
选择File菜单之New项,选择文件类型,本设计选择VHDLFile。
建立一个文本编辑文件4、输入源程序, 保存文件。
注意,必须保存为vhd类型,且文件名与源程序的实体名相同。
二、创建工程并编译1、创建一个新的工程,将多路选择器文件加入工程。
2、编译。
点击Start Compilation按钮进行编译。
如果发现错误,改正后再次编译。
三、仿真1、建立波形文件。
选择File菜单之New项,选择Other Fles中的Vector WaveformFile文件类型,建立一个波形文件2、设定仿真时间。
选择菜单Edit的End Time ….项设定仿真时间域。
例如1us.3、输入端口信号。
选择菜单View的Utility Windows项的Node Finder选项,在弹出得出的对话框中单击List按钮,将需要的端口信号拖倒波形编辑器中。
4、编辑输入波形。
在输入端口加上适当的信号,以便在输出端进行观察。
5、保存文件。
6、进行仿真。
点击Start Simulation按钮进行仿真。
7、观察分析波形。
观察仿真结果,并进行波形分析,看是否与设计相符。
五、实验报告1、根据实验过程写出试验报告2、总结用VHDL语言的设计流程3、总结时序电路的设计方法。
附录JK触发器VHDL源程序library ieee;use ieee.std_logic_1164.all;entity jkff1 isport(j,k:in std_logic;clk:in std_logic;q,qn:out std_logic);end entity jkff1;architecture bhv of jkff1 issignal q1:std_logic;beginprocess(clk)beginif clk'event and clk='1' thenif j='1'and k='0' then q1<='0';elsif j='0'and k='1' then q1<='1';elsif j='1'and k='1' then q1<=not q1;else q1<=q1;end if;end if;end process;q<=q1;qn<=not q1;end architecture bhv;。
时序电路实验报告
实验5时序电路实验预习实验报告疑问:1、时序电路的组成原理和控制原理分别是什么?2、计算机中的周期,节拍和脉冲之间有什么关系?实验报告一、波形图:参数设置:Endtime:2.0us Gridsize:25.0ns信号设置:clk:时钟信号,设置周期为25ns占空比为50%。
reset: 重置信号,用于清除当前状态机的状态,二进制输入,高电平有效。
qd:启动信号,用于启动状态机,二进制输入,低电平有效。
tj:停机控制信号,用于使状态机保持当前状态,二进制输入,高电平有效。
dp:单拍执行信号,用于使状态机输出且仅输出一次脉冲,二进制输入,高电平有效。
t1,t2,t3,t4:节拍脉冲信号,二进制输出,高电平时有效。
仿真波形1.初始状态(0-25ns):reset=1,qd=1,tj=0,dp=0,此时为初始化状态,无输出;2.启动(25-550ns):保持reset=0,使qd=0,则四个节拍脉冲依次有效;3.停机(550-650ns):保持tj=1,则节拍脉冲停留在t2的状态;4.单拍(650-1000ns):恢复tj,使dp=1,则经过一个周期的节拍脉冲后不在产生节拍脉冲;5.单拍(1000-1750ns):使qd=0再次启动状态机,保持dp=1,则输出一个周期的节拍脉冲后将不再有节拍脉冲输出,在单拍状态为结束时再次使qd=0,启动状态机,最后恢复dp,也不再有节拍脉冲出现,此时,节拍的出现主要由qd来控制。
6.重置(1750-2000ns):使reset=1,此时,所有状态都恢复到初始值。
结论:本实验的设计能正确实现模拟状态机的重置,启动,停机,单拍功能,故电路设计正确。
二、实验日志预习疑问解答:1、 时序电路的组成原理和控制原理分别是什么?答:各种计算机的时序电路不同,但基本结构一样。
时序电路实验的功能就是产生一系列的节拍点位和节拍脉冲,它一般由时钟脉冲源,时序信号产生电路,节拍脉冲和读写时序译码逻辑,启停控制电路等部分组成。
实验时序电路实验报告
实验时序电路实验报告摘要:时序电路是数字电路中的一种重要电路,它负责控制系统中各个部件和信号的时序关系。
本实验旨在通过设计和实现一个简单的时序电路,加深对时序电路原理的理解,并掌握时序电路设计的基本方法和步骤。
在实验中,我们采用了JK触发器和计数器等器件,通过逻辑电平的高低和输入信号的输入顺序来实现不同的时序控制功能。
通过实验我们发现,在正确配置和连接时序电路的各个部件后,时序电路可以准确地按照预定的时序顺序进行工作,实现了预期的控制效果。
一、实验目的1. 了解时序电路的基本概念和工作原理;2. 掌握JK触发器和计数器的基本特性和设计方法;3. 设计和实现一个简单的时序电路。
二、实验器材和设备1. 实验台板2. 集成电路(IC):7404、74107、741613. 电源、导线等三、实验原理1. 时序电路简介时序电路又称为序贯电路,是数字电路中按照一定的时序和顺序进行工作的电路。
它根据输入信号和内部时钟信号的时序关系来控制系统的输出,能够实现各种复杂的逻辑控制功能。
时序电路对时钟信号的边沿触发具有较高的要求,通常使用触发器作为时序电路的基本单元。
2. JK触发器JK触发器是一种常用的时序电路元件,具有两个正反馈输入端(J和K)和两个输出端(Q和Q')。
JK触发器的工作原理是当时钟触发信号为上升沿时,J、K输入信号控制Q输出端的电平状态。
3. 计数器计数器是一种常用的时序电路模块,它可以根据时钟信号的输入进行计数,并输出对应的计数结果。
常见的计数器有二进制计数器、十进制计数器等。
四、实验内容和步骤1. 实验电路的设计根据实验要求和所学知识,设计一个简单的时序电路。
本实验中,我们设计一个由两个JK触发器和一个计数器构成的时序电路。
其中,JK触发器用于接收输入信号和时钟信号,并根据输入信号的顺序和时钟信号的边沿触发生成输出信号;计数器用于对输入信号的个数进行计数,并根据计数结果控制输出信号的状态。
时序实验实验报告
一、实验目的1. 掌握时序逻辑电路的基本原理和设计方法。
2. 熟悉常用时序逻辑电路器件的结构和功能。
3. 培养实际操作能力,提高电路设计水平。
二、实验原理时序逻辑电路是指输出不仅与当前输入有关,还与过去输入有关,即电路的输出状态具有记忆功能的电路。
本实验主要涉及同步计数器和寄存器的设计与测试。
三、实验设备1. 数字电子实验箱2. 示波器3. 信号发生器4. 74LS163、74LS00、74LS20等集成器件四、实验内容1. 设计一个4位同步计数器,实现二进制加法计数功能。
2. 设计一个8位同步寄存器,实现数据的暂存和传送功能。
五、实验步骤1. 4位同步计数器设计(1)根据计数器功能要求,列出状态转换表。
(2)根据状态转换表,画出状态转换图。
(3)根据状态转换图,画出电路图。
(4)将电路图连接到实验箱上,并进行调试。
(5)观察计数器输出,验证计数功能是否正确。
2. 8位同步寄存器设计(1)根据寄存器功能要求,列出数据输入、保持、清除和输出控制信号的真值表。
(2)根据真值表,画出电路图。
(3)将电路图连接到实验箱上,并进行调试。
(4)观察寄存器输出,验证寄存功能是否正确。
六、实验结果与分析1. 4位同步计数器实验结果经过调试,4位同步计数器能够实现二进制加法计数功能。
观察计数器输出,验证计数功能正确。
2. 8位同步寄存器实验结果经过调试,8位同步寄存器能够实现数据的暂存和传送功能。
观察寄存器输出,验证寄存功能正确。
七、实验总结本次实验,我们通过设计4位同步计数器和8位同步寄存器,掌握了时序逻辑电路的基本原理和设计方法。
在实际操作过程中,我们提高了电路设计水平,培养了实际操作能力。
八、实验心得1. 在设计时序逻辑电路时,要充分理解电路功能要求,合理选择器件,确保电路能够实现预期功能。
2. 在调试过程中,要仔细观察电路输出,发现问题及时解决。
3. 通过本次实验,我们对时序逻辑电路有了更深入的了解,为今后学习和实践打下了基础。
时序实验报告总结
时序实验报告总结时序实验报告总结时序实验是计算机科学中的一项重要实验,旨在通过设计和实现时序电路,来加深对数字电路和时序逻辑的理解。
本文将对我在时序实验中的学习和总结进行分享。
实验一:时序电路设计在时序电路设计实验中,我通过学习时序逻辑的基本概念和设计原理,成功完成了一个简单的时序电路设计。
通过该实验,我深入理解了时钟信号、触发器和状态机的概念,并学会了使用Verilog语言进行时序电路的建模和仿真。
实验二:时序电路优化时序电路优化实验是进一步提高时序电路设计能力的关键一步。
在该实验中,我通过对已有电路的分析和优化,实现了电路的性能提升。
通过优化电路的关键路径,我成功降低了电路的延迟,并提高了电路的工作速度。
实验三:时序电路测试时序电路测试是保证电路正确性的重要环节。
在该实验中,我学会了使用测试向量和模拟器对时序电路进行测试。
通过设计全面的测试用例和检查电路的输出波形,我成功发现和解决了电路中的一些问题,并提高了电路的稳定性和可靠性。
实验四:时序电路综合时序电路综合是将逻辑电路转化为物理电路的过程。
在该实验中,我学会了使用综合工具将Verilog代码转化为门级电路,并通过对综合结果的分析和优化,提高了电路的面积效率和功耗性能。
实验五:时序电路布局与布线时序电路布局与布线是将逻辑电路映射到芯片上的过程。
在该实验中,我学会了使用布局与布线工具对电路进行布局和布线,并通过对布局和布线结果的分析和优化,提高了电路的可靠性和稳定性。
实验六:时序电路验证时序电路验证是验证电路设计的正确性和可靠性的重要环节。
在该实验中,我学会了使用仿真和验证工具对电路进行验证,并通过对验证结果的分析和优化,提高了电路的正确性和稳定性。
通过以上实验,我深入了解了时序电路的设计、优化、测试、综合、布局与布线以及验证等方面的知识和技能。
通过实践和总结,我不仅提高了对时序电路的理解和掌握,还培养了问题解决和创新能力。
时序实验的学习过程中,我还遇到了一些挑战和困惑。
时序电路测试及研究实验报告
时序电路测试及研究实验报告一、实验目的1、掌握时序电路的基本概念和工作原理;2、学习时序电路的测试方法;3、实验对仿真结果验证,进一步了解和理解时序电路的性能。
二、实验仪器和材料1、示波器;2、信号发生器;3、逻辑分析仪;4、7400、7474、74163等数字集成电路芯片;5、电路板、连接线等。
三、实验原理时序电路是一种含有存储单元的组合电路,可以实现不同时刻的输入、输出和状态转移。
时序电路可以分为同步时序电路和异步时序电路两种类型。
同步时序电路是指每次时钟上升沿时,电路的状态都会根据当前的输入信号和存储器的状态进行更新,因此该电路的输出状态只与时钟信号有关。
常见的同步时序电路有触发器、寄存器、计数器等。
异步时序电路是指每次时钟上升沿时,电路的状态不仅根据当前的输入信号和存储器的状态进行更新,而且可能还受到外部输入信号的影响。
因此该电路的输出状态除了与时钟信号有关外,还与其他输入信号有关。
常见的异步时序电路有锁存器、触发器等。
时序电路的测试是指通过特定的输入序列,观察电路在不同时刻的输出状态,并对电路的正确性进行判断。
常见的时序电路测试方法有基本时序测试和边界值测试。
基本时序测试是指通过在不同时间点上施加不同的输入信号序列,观察电路的输出状态,通过比对期望的输出状态和实际的输出状态,判断电路是否正常工作。
边界值测试是指通过在输入信号中使用最大值、最小值、最大不稳定延迟和最小不稳定延迟等极限数据进行测试,以检测电路的极限工作条件下的正确性和可靠性。
四、实验步骤1、搭建基本的时序电路,如触发器、寄存器、计数器等;2、给电路施加不同的输入信号序列,观察电路的输出状态;3、利用逻辑分析仪、示波器等工具,对电路的输入信号和输出信号进行测试;4、对比实际的输出状态和期望的输出状态,判断电路是否正常工作;5、使用边界值测试方法,对电路的极限工作条件下的正确性和可靠性进行测试。
五、实验结果及分析在实验过程中,我们使用了不同的数字集成电路,包括7400、7474、74163等。
时序电路实验报告
时序逻辑电路实验报告一、实验目的1.掌握同步计数器设计方法与测试方法。
2.掌握常用中规模集成计数器的逻辑功能和使用方法。
二、实验设备设备:THHD-2型数字电子计数实验箱、示波器、信号源器件:74LS163、74LS00、74LS20等。
三、实验原理和实验电路1.计数器计数器不仅可用来计数,也可用于分频、定时和数字运算。
在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。
2.(1) 四位二进制(十六进制)计数器74LS161(74LS163)74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。
74LSl63是同步置数、同步清零的4位二进制加法计数器。
除清零为同步外,其他功能与74LSl61相同。
二者的外部引脚图也相同,如图5.1所示。
表5.1 74LSl61(74LS163)的功能表3.集成计数器的应用——实现任意M进制计数器一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。
第二类是由集成二进制计数器构成计数器。
第三类是由移位寄存器构成的移位寄存型计数器。
第一类,可利用时序逻辑电路的设计方法步骤进行设计。
第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。
两种实现方法:反馈置数法和反馈清零法。
第三类,是由移位寄存器构成的移位寄存型计数器。
4.实验电路:十进制计数器六进制扭环计数器具有方波输出的六分频电路图5.1 74LS161(74LS163)外部引脚图四、实验内容及步骤1.集成计数器实验(1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。
然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。
(2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。
时序逻辑电路实验报告
时序逻辑电路实验报告一、实验目的1、掌握时序逻辑电路的设计过程。
2、了解时序电路器件的构成,用触发器设计一些简单的时序电路。
二、实验原理如果电路任一时刻的输出不仅取决于当时的输入信号,还取决于电路原来的状态,或者说还与以前的输入信号有关,具备这种逻辑功能特点的电路我们称之为时序逻辑电路。
根据时序电路的时钟信号是否相同,即触发器是否同时翻转,又可以把时序电路分为异步时序电路和同步时序电路。
分析一个时序电路,就是要找出给定时序电路的逻辑功能。
步骤如下:1、从给定逻辑图得出每个触发器的驱动方程;2、由驱动方程得到触发器的状态方程,从而得到时序电路的状态方程组;3、根据逻辑图写出时序电路的输出方程。
4、根据得到的方程式画出逻辑图。
5、检查电路是否能够自启动,进行逻辑修改,实现自启动。
而异步时序电路和同步时序电路的分析方法又不尽相同,在异步时序电路中,状态发生转换时,并不是所有触发器都翻转,只有有时钟信号的才计算触发器次态,没有时钟信号的触发器保持状态不变。
如果想使电路的逻辑功能一目了然,可以用状态转换真值表、状态转换图和时序图等三种方法来表示,他们之间可以相互转换。
为一个四位扭环计数器和其工作波形,并且该计数器可以自行启动。
其工作状态为0000→0001 →0011 →0111 →1111 →1110 →1100 →1000,然后再回到0000重新开始计数。
三、实验器件74175是四D型触发器,有公共的清零端和公共时钟信号,包含四组相同的D触发器,上升沿触发,清零端低电平有效。
四、实验内容1、用D触发器7474设计一个异步减法计数器,验证功能并画出逻辑图。
2、制作任意进制加法计数器。
(7进制计数器,同步)3、用JK触发器7476设计一个九进制同步加法计数器,搭建电路验证其功能,并画出逻辑图。
4、用JK触发器和门电路设计111序列信号检测器,有一个信号输入端口X,一个输出端口Y,当X输入序列111时,输出Y=1。
数字电路与系统设计实验报告
数字电路与系统设计实验报告学院:班级:姓名:实验一基本逻辑门电路实验一、实验目的1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。
2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。
二、实验设备1、二输入四与非门74LS00 1片2、二输入四或非门74LS02 1片3、二输入四异或门74LS86 1片三、实验内容1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。
2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。
3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。
四、实验方法1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。
2、用实验台的电平开关输出作为被测器件的输入。
拨动开关,则改变器件的输入电平。
3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。
指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。
五、实验过程1、测试74LS00逻辑关系(1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯)(2)真值表2、测试74LS02逻辑关系(1)接线图(2)真值表3、测试74LS86逻辑关系接线图(1)接线图(2)真值表六、实验结论与体会实验是要求实践能力的。
在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。
实在检查不出来,可以请老师和同学帮忙。
实验二逻辑门控制电路实验一、实验目的1、掌握基本逻辑门的功能及验证方法。
2、掌握逻辑门多余输入端的处理方法。
3、学习分析基本的逻辑门电路的工作原理。
二、实验设备1、基于CPLD的数字电路实验系统。
2、计算机。
三、实验内容1、用与非门和异或门安装给定的电路。
2、检验它的真值表,说明其功能。
四、实验方法按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。
时序电路测试及研究实验报告总结
时序电路测试及研究实验报告总结时序电路测试及研究实验报告总结一、实验目的1. 理解时序电路的基本概念和原理;2. 学习时序电路的设计方法;3. 掌握时序电路测试方法。
二、实验器材1. 电源;2. 示波器;3. 函数发生器;4. 逻辑分析仪。
三、实验原理1. 时序电路的概念和分类:时序电路是指由触发器、计数器等组成的数字电路,按照信号传递时间顺序控制输出信号状态。
根据输入输出关系可分为同步时序电路和异步时序电路。
2. 触发器:触发器是一种用于存储二进制信息的数字元件,它可以将输入信号转换为稳定的输出信号,并能够保持该状态。
3. 计数器:计数器是一种用于计数的数字元件,它能够根据输入信号进行计数,并在达到设定值后产生输出信号。
四、实验步骤与结果1. D触发器测试:(1)连接D触发器并设置输入端口和输出端口;(2)使用函数发生器模拟输入脉冲,并使用示波器检测输出脉冲;(3)通过逻辑分析仪观察D触发器的时序波形。
结果:通过实验,我们得到了D触发器的时序波形,可以清晰地看到输入信号和输出信号的变化过程。
2. JK触发器测试:(1)连接JK触发器并设置输入端口和输出端口;(2)使用函数发生器模拟输入脉冲,并使用示波器检测输出脉冲;(3)通过逻辑分析仪观察JK触发器的时序波形。
结果:通过实验,我们得到了JK触发器的时序波形,可以清晰地看到输入信号和输出信号的变化过程。
3. T触发器测试:(1)连接T触发器并设置输入端口和输出端口;(2)使用函数发生器模拟输入脉冲,并使用示波器检测输出脉冲;(3)通过逻辑分析仪观察T触发器的时序波形。
结果:通过实验,我们得到了T触发器的时序波形,可以清晰地看到输入信号和输出信号的变化过程。
4. 计数器测试:(1)连接计数器并设置计数范围;(2)使用函数发生器模拟输入脉冲,并使用示波器检测计数范围内产生的输出信号;(3)通过逻辑分析仪观察计数器的时序波形。
结果:通过实验,我们得到了计数器的时序波形,可以清晰地看到输入信号和输出信号的变化过程。
时序逻辑电路实验报告
一、实验目的1. 理解时序逻辑电路的工作原理和基本结构;2. 掌握触发器、计数器等时序逻辑电路的设计方法;3. 熟悉Multisim软件在时序逻辑电路设计与仿真中的应用;4. 培养实际操作能力和分析问题、解决问题的能力。
二、实验原理时序逻辑电路是一种在时钟信号控制下,输出不仅与当前输入有关,还与电路历史状态有关的数字电路。
其基本结构包括触发器、计数器等。
触发器是时序逻辑电路的基本单元,用于存储一位二进制信息。
计数器是时序逻辑电路的一种应用,用于对输入脉冲进行计数。
三、实验内容1. 触发器实验(1)实验目的:熟悉触发器的工作原理和功能,掌握触发器的使用方法。
(2)实验内容:设计一个JK触发器,实现时钟信号控制下的同步置1、同步置0、计数等功能。
(3)实验步骤:① 使用Multisim软件,搭建JK触发器电路;② 搭建计数器电路,实现时钟信号控制下的计数功能;③ 设置输入信号,观察触发器和计数器的输出波形,验证功能。
2. 计数器实验(1)实验目的:掌握计数器的设计方法,熟悉不同计数器电路的功能。
(2)实验内容:设计一个模为24的二进制计数器和模为60的十进制计数器。
(3)实验步骤:① 使用Multisim软件,搭建二进制计数器电路;② 设置输入信号,观察计数器的输出波形,验证功能;③ 使用Multisim软件,搭建十进制计数器电路;④ 设置输入信号,观察计数器的输出波形,验证功能。
四、实验结果与分析1. 触发器实验实验结果显示,设计的JK触发器能够实现同步置1、同步置0、计数等功能。
在计数过程中,触发器的输出波形符合预期,验证了JK触发器的功能。
2. 计数器实验实验结果显示,设计的模为24的二进制计数器和模为60的十进制计数器均能实现预期的计数功能。
在计数过程中,计数器的输出波形符合预期,验证了计数器电路的功能。
五、实验总结本次实验通过设计、搭建和仿真时序逻辑电路,掌握了触发器、计数器等时序逻辑电路的设计方法,熟悉了Multisim软件在时序逻辑电路设计与仿真中的应用。
时序电路实验报告
时序电路实验报告时序电路实验报告引言:时序电路是数字电路中的一种重要类型,它能够根据输入信号的时序关系来控制输出信号的变化。
本次实验旨在通过设计和测试不同类型的时序电路,加深对时序电路原理和应用的理解。
一、实验目的本次实验的主要目的有以下几点:1. 理解时序电路的基本原理和工作方式;2. 学会使用逻辑门和触发器等基本元件构建时序电路;3. 掌握时序电路的设计和测试方法。
二、实验器材和元件1. 实验器材:数字逻辑实验箱、示波器、数字信号发生器等;2. 实验元件:逻辑门(与门、或门、非门)、触发器(RS触发器、JK触发器)、电阻、电容等。
三、实验过程及结果1. 实验一:RS触发器的设计与测试RS触发器是最基本的触发器之一,由两个交叉连接的与门和非门组成。
我们首先根据真值表设计RS触发器的逻辑电路,并使用逻辑门和电阻电容等元件进行实际搭建。
通过输入不同的时序信号,观察输出的变化情况,并记录实验结果。
实验结果表明,RS触发器能够稳定地存储和传递输入信号。
2. 实验二:JK触发器的设计与测试JK触发器是一种改进型的RS触发器,它具有更多的功能和应用。
我们在实验中使用与门和非门构建JK触发器,并通过输入不同的时序信号,观察输出的变化情况。
实验结果表明,JK触发器可以实现存储、传递和翻转等多种功能,具有较高的灵活性和可靠性。
3. 实验三:时钟信号的设计与测试时钟信号是时序电路中非常重要的一种输入信号,它能够控制时序电路的运行和同步。
我们在实验中使用数字信号发生器产生不同频率和占空比的时钟信号,并通过示波器观察和分析实际输出的时序波形。
实验结果表明,时钟信号的频率和占空比对时序电路的运行和输出有着重要的影响。
四、实验总结通过本次实验,我们深入了解了时序电路的基本原理和应用,掌握了时序电路的设计和测试方法。
实验结果表明,时序电路能够根据输入信号的时序关系来控制输出信号的变化,具有较高的可靠性和灵活性。
时序电路在数字电路中起着重要的作用,广泛应用于计算机、通信和控制系统等领域。
时序逻辑电路
课程名称:数字逻辑电路设计实践实验名称:组合逻辑电路设计时序逻辑电路1、实验目的1. 掌握时序逻辑电路的一般设计过程;2. 掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求;3. 掌握时序逻辑电路的基本调试方法;4. 熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。
2、 实验原理 详见书103~1473、实验内容1. 广告流水灯a. 实验要求用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED 组成,工作时始终为1暗7亮,且这一个暗灯循环右移。
1 写出设计过程,画出设计的逻辑电路图,按图搭接电路。
1)状态转换图: 现态 次态 Q2(n) Q1(n) Q0(n) Q2(n+1) Q1(n+1) Q0(n+1) 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1112)建立卡诺图:001 010 100 011 101 1100001111!1210n n n Q Q Q +++有上表得:Q 0n 1=Q 0n 0100 01 11 10 2nQ 10n nQ QQ 1n 1=Q 0n ⊕Q 1n2n 1=Q n ⊕=Q n ⊕因此,需要三个D 触发器来实现时序电路,三个D 触发器分别对应Q0、Q1、Q2 通过一片74LS138 3-8线译码器将Q2Q1Q0所对应的二进制码输出转化为相应的0~7号LED 灯的输入电平。
2 将单脉冲加到系统时钟端,静态验证实验电路。
3 将TTL 连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲CLK 、触发器的输出端Q2、Q1、Q0和8个LED 上的波形。
b . 实验数据 ① 设计电路。
② 静态验证 (自拟表格)将3-8译码器的15Y ~0Y 输出端,从左到右依次接测试箱上的8个LED 灯80~L L ,3个D 触发器共同接箱上经消抖处理的当脉冲信号(上升沿触发)。
数电实验四——精选推荐
实验四:时序逻辑电路(集成寄存器和计数器)一、实验目的:1.熟悉中规模集成计数器的逻辑功能和使用方法;掌握用集成计数器组成任意模数为M的计数器。
2.加深理解移位寄存器的工作原理及逻辑功能描述;熟悉中规模集成移位寄存器的逻辑功能和使用方法;掌握用移位寄存器组成环形计数器的基本原理和设计方法。
二、知识点提示和实验原理:㈠计数器:计数器的应用十分广泛,不仅可用来计数,也可用于分频、定时和数字运算。
计数器种类繁多,根据计数体制不同,计数器可分为二进制计数器和非二进制计数器两大类。
在非二进制计数器中,最常用的是十进制计数器,其他的称为任意进制计数器。
根据计数器的增减趋势的不同,计数器可分为加法计数器和减法计数器。
根据计数脉冲引入方式不同,计数又可分为同步计数器和异步计数器。
在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。
用集成计数器实现任意M进制计数器:一般情况任意M进制计数器的结构分为3类,第一种是由集成二进制计数器构成,第二种为移位寄存器构成的移位寄存型计数器,第三种为集成触发器构成的简单专用计数器。
当M较小时通过对集成计数器的改造即可以实现,当M较大时,可通过多片计数器级联实现。
实现方法:(1)当所需计数器M值小于集成计数器本身二进制计数最大值时,用置数(清零)法构成任意进制计数器;⑵当所需计数器M值大于集成计数器本身二进制计数最大值时,可采用级联法构成任意进制计数器。
常用的中规模集成器件:4位二进制计数器74HC161,十进制计数器74HC160,加减计数器74HC191、74HC193,异步计数器74LS290。
所有芯片的电路、功能表见教材。
㈡寄存器:寄存器用来寄存二进制信息,将一些待运算的数据、代码或运算的中间结果暂时寄存起来。
按功能划分,寄存器可分为数码寄存器和移位寄存器两大类。
数码寄存器用来存放数码,一般具有接收数码、保持并清除原有数码等功能,电路结构和工作原理郡比较简单。
简单时序电路
深圳大学实验报告
课程名称:数字电路
实验项目名称:简单时序电路
学院
专业
指导教师:许改霞
报告人:陈锦旺学号:2009170013班级:光信一班
实验时间:
实验报告提交时间:
教务处制
、实验目的与要求:掌握简单时序电路的分析、设计、测试方法。
二、实验仪器:
1、 双JK触发器74LS732片
2、 双D触发器74LS742片
3、 四2输入与非门74LS001片
4、 示波器四、实验内容与步骤:
(一)实验内容
1双D触发器74LS74构成的二进制计数器(分频器)
(1)按下图接线,CLR接逻辑开关输、Q1、Q2、Q3复位。
3)由CLK端输入单脉冲,测试并记录Q0、Q1、Q2、Q3的状态。
(4)由CLK端输入连续脉冲,观察Q0、Q1、Q2、Q3的波形。
2、 用2片74LS73构成一个二进制计数器,重做内容1的实验。
3、 异步十进制计数器
(1) 按图8.2构成一个十进制计数器,CLR接逻辑开关输出,LED接逻辑状态指示。
(2) 将QO Q1、Q2、Q3复位。
3)由时钟端CLK输入单次脉冲,测试并记录QO Q1、Q2、Q3的状态。
简单时序电路
深圳大学实验报告课程名称:数字电路实验项目名称:简单时序电路学院:光电工程学院专业:光电信息工程指导教师:许改霞报告人:陈锦旺学号:2009170013班级:光信一班实验时间:实验报告提交时间:教务处制一、实验目的与要求:掌握简单时序电路的分析、设计、测试方法。
二、实验仪器:1、双JK触发器74LS73 2片2、双D触发器74LS74 2片3、四2输入与非门74LS00 1片4、示波器四、实验内容与步骤:(一) 实验内容1 双D触发器74LS74构成的二进制计数器(分频器)(1)按下图接线,CLR接逻辑开关输出,LED接逻辑状态指示。
图8.1 D触发器74LS74构成的二进制计数器(2)使CLR=0,将Q0、Q1、Q2、Q3复位。
(3)由CLK端输入单脉冲,测试并记录Q0、Q1、Q2、Q3的状态。
(4)由CLK端输入连续脉冲,观察Q0、Q1、Q2、Q3的波形。
2、用2片74LS73构成一个二进制计数器,重做内容1的实验。
3、异步十进制计数器(1)按图8.2构成一个十进制计数器,CLR接逻辑开关输出,LED接逻辑状态指示。
(2)将Q0、Q1、Q2、Q3复位。
(3)由时钟端CLK输入单次脉冲,测试并记录Q0、Q1、Q2、Q3的状态。
(4)由时钟端CLK输入连续脉冲,观察Q0、Q1、Q2、Q3的波形。
图8.2异步十进制计数器4、自循环计数器(1)用双D触发器74LS74构成一个四位自循环计数器。
方法是第一级的Q端接第二级的D端,依次类推,最后第四级的Q端接第一级的D端。
四个D触发器的CLK端连接在一起,然后接单脉冲时钟。
(2)将触发器Q0置1,Q1、Q2、Q3清零。
按单脉冲按钮,观察并记录Q0、Q1、Q2、Q3的值。
(二)实验接线及测试结果1、实验1接线图及测试结果(1)接线图图8.3 74LS74构成二进制计数器接线图图中,K1是逻辑开关,AK1是单次按钮,LED0、LED1、LED2、LED3是逻辑状态指示灯。