数字电子钟逻辑电路设计

合集下载

数字电子钟逻辑电路设计任务和要求

数字电子钟逻辑电路设计任务和要求

数字电子钟逻辑电路设计任务和要求数字电子钟是一种常见的电子产品,主要用于测量时间并显示时间的数字设备。

其逻辑电路设计任务和要求主要包括以下几个方面。

1.时钟电路的设计时钟电路是数字电子钟最基础的逻辑电路,它主要用于生成数字电子钟的精确时间信号。

时钟电路一般采用晶振振荡器来实现,需要根据不同的时钟精度要求选择不同的晶振振频。

同时,还需要考虑时钟电路的功耗、噪声、温度稳定性等因素,确保时钟电路提供的时间信号精确可靠。

2.数字显示电路的设计数字电子钟的主要功能是显示时间,因此数字显示电路的设计至关重要。

数字显示电路一般采用数码管作为显示装置,并通过逻辑门实现控制信号的生成,从而完成时钟的秒、分、时等时间信息的显示。

在设计数字显示电路时,需要考虑显示模式、显示颜色、显示亮度等因素,同时需要确保数字显示电路的功耗、稳定性和可靠性。

3.时钟芯片的设计时钟芯片是数字电子钟的核心模块,它集成了时钟电路、数字显示电路、控制逻辑等多个模块,是数字电子钟整体性能的关键因素。

时钟芯片需要具备高集成度、低功耗、高精度、高稳定性等特点,同时还需要和微控制器、电源管理模块等其他模块协同工作,确保数字电子钟整体性能的稳定和可靠。

4.电源管理电路的设计电源管理电路主要用于对数字电子钟的电源进行管理,保证数字电子钟正常工作。

电源管理电路一般包括电池电路、充电电路、供电稳压电路等,需要根据数字电子钟的工作特点和电源管理要求进行设计,确保数字电子钟的电源供应稳定可靠。

5.外部接口的设计数字电子钟还需要与其他外部设备进行通信和交互,因此需要设计合适的外部接口。

外部接口主要包括串行接口、并行接口、无线接口等,需要根据数字电子钟的具体应用场景和接口要求进行选择和设计。

综上所述,数字电子钟的逻辑电路设计任务和要求涉及多个方面,需要综合考虑时钟电路、数字显示电路、时钟芯片、电源管理电路和外部接口等多个模块,同时还需要确保数字电子钟的功耗、稳定性和可靠性等方面的性能。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计Tq weqehrqeryqrqertqertqtre一、实验目的:1、掌握数字钟的设计方法;2、熟悉集成电路的使用方法。

二、设计任务和要求:1、设计一个有“时”,“分”,“秒”(23小时59分59秒)显示且有校时功能的电子钟;2、用中小规模集成电路组成电子钟;3、画出框图和逻辑电路图,写出设计报告;4、选做:①闹钟系统。

②整点报时。

③日历系统。

三、方案选择和论证:1.分秒功能的实现:用两片74290组成60进制递增计数器2.时功能的实现:用两片74290组成24进制递增计数器3.定点报时:当分秒同时出现为0时,灯亮。

4.日历系统:月跟日分别用2片74192实现,月份就接成12进制,日则接成31进制,星期由1片74192组成7进制,从星期一至星期天。

四、方案的设计:1、可调时钟模块:秒、分、时分别为60、60和24进制计数器。

用两片74LS290做一个二十四进制, 输入计数脉冲CP加在CLKA’端,把QA与与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数。

通过反馈端,控制清零端清零,其中个位接成二进制形式,十位接成四进制形式。

其电路图如下:同理利用两片74290组成的六十进制计数器,如下图所示将两个六十进制的加法计数器和一个二十四进制的加法计数器进行级联:将秒的十位进位脉冲接到分的个位输入脉冲,将分的十位进位脉冲接到时的个位输入脉冲,这样就可以组成最基本的电路。

2.校时电路:例如说时的校准,开关1上端接1HZ脉冲,下端接分的进位。

当开关打到上端时电路进入校准功能,当开关打到下端时电路进入正常计时功能。

其电路如总电路图所示3.整点报时:分别用2个或非门接到分和秒的各输出个节点处,再用一个与非门与报时灯链接,当输出同时为零时,即整点时,报时灯就亮了,起到报时功能。

本实验使用LED发光(1s),其电路图如下:4.日历系统:月和日都用2片74192实现。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告数字电子钟逻辑电路设计计算机与信息工程学院 2010级计科师范汉班班程锦 20101102055指导教师张鹏举讲师摘要通过74LS161的置数功能,分别实现时钟的时,分,秒的不同进位,当秒的个位为9时,第一片74LS161实现置数功能,把个位置零的同时并向十位发出脉冲信号,分的功能和秒相同,当时的个位同秒的个位,但是当小时的个位为4,十位为2时,实现整个电路的统一清零。

关键词74LS161;清零;置数1 工作原理一个基本的数字钟电路系统主要有“秒”信号发生器、“时”、“分”、“秒”计数器、译码器及显示器电路组成。

“秒”信号产生器是整个系统的时基信号,“秒”计数器采用60进制计数法,其是由2片74LS161采用清零法串联而成,每累计60秒发出一个分脉冲信号。

从“秒”计数器输出的该信号将被送到“分”计数器。

“分” 计数器也采用60进制计数法,每累计60分钟,发出一个时脉冲信号,此计数原理与“秒”计数器完全相同。

从“分”计数器输出的该信号将被送到“时”计数器。

“时”计数器采用24进制计时器。

将“时”、“分”、“秒”计数器的输出状态接到七段显示器上,通过LED七段显示器显示出来。

本系统采用计数器、译码器、显示器、校时电路组成。

由LED七段数码管来显示译码器所输出的信号。

采用了74LS161小规模集成芯片。

2 设计步骤及方法一个基本的数字钟电路主要由译码显示器,“时”,“分”,“秒”计数器和定时器组成。

电路系统由秒信号发生器“时”、“分”、“秒”计数器、译码器及显示器电路组成。

首先构成一个周期为一秒的标准“秒”脉冲信号,由74LS161采用置数法分别组成六十进制的“秒”计数器、六十进制“分”计数器,24进制“时”计数器。

置数法适用于具有预置数功能的集成计数器。

对于就有预置数功能的计数器而言,在其计数过程中可以将它输出的任意一个状态通过译码,产生一个预置数控制信号反馈至预置数控制端,在下一个CP脉冲作用后,计数器会把预置数输入端的状态置入输出端。

数字逻辑电路设计课程设计之数字电子钟

数字逻辑电路设计课程设计之数字电子钟

课程名称:数字电路逻辑设计课程设计设计项目:数字电子钟学生姓名:同组人:高爽一.设计目的1.掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;2.进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;3.提高电路布局﹑布线及检查和排除故障的能力;4.培养书写综合实验报告的能力。

二 . 设计要求1.设计一个具有时、分、秒显示的电子钟(23小时59分59秒);2.应该具有手动校时校分的功能;3.应该具有整点报时功能:从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次;4.使用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试;5.画出框图和逻辑电路图,写出设计、实验总结报告。

三 . 设计原理1.数字电子钟基本原理数字电子钟的逻辑框图如下图所示。

它由555集成芯片构成的振荡电路、分频器、计数器、显示器和校时电路组成。

555集成芯片构成的振荡电路产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过“时”、“分”、“秒”译码器显示时间。

2.数字电子钟单元电路设计时钟脉冲已经由实验箱提供,实验箱提供的是秒脉冲;显示电路已经由实验箱提供。

(1)计数器电路A.秒个位计数器,分个位计数器,时个位计数器均是十进制计数器;B.秒十位计数器,分十位计数器均是六进制计数器;C.时十位计数器为二进制计数器因此,选择74LS90可以实现二-五-十进制异步计数器芯片实现上述计数功能。

时位计数器分位计数器秒位计数器(2)手动校时电路当数字钟走时出现误差时,需要校正时间。

校时电路实现对“时”“分”“秒”的校准。

在电路中设有正常计时和校对位置。

本实验实现“时”“分”的校对。

对校时的要求是:在小时校正时不影响分和秒的正常计数;在分钟校正时不影响秒和小时的正常计数。

手动校时电路图(3)整点报时电路整点报时功能:即从59分51秒起(含59分51秒),每隔2秒发出一次蜂鸣,连续5次。

数字电子时钟逻辑电路设计

数字电子时钟逻辑电路设计
(高阻态),这里输出端电位取决于各它相连接的外电路。三态门都有一个EN控制
使能端,来控制门电路的通断。因此利用三态门可心方便地将输出端连接到总线。
如果你的多个设备端口要挂在一个总线上,必须通过三态缓冲器。因为在一个 总线上同时只能有一个端口作输出,这时其他端口必须在高阻态,同时可以输入这 个输出端口的数据.所以你还需要有总线控制管理,访问到哪个端口,那个端口的 三态缓冲器才可以转入输出状态.这是典型的三态门应用,如果在线上没有两个以 上的输出设备,当然用不到三态门,而线或逻辑又另当别论了
冲信号时间间隔为0.5s
3设计步骤及方法
3.1分和秒部分的设计:
分和秒部分的设计是采用GAL16V8芯片来设计的60进制计数器,具体设计如
图1示:
图1分和秒部分设计图
秒部分的设计是秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完
成从00-59的六十进制计数器。当计数到59时清零并重新开始计数。
1设计任务及其工作原理
1.1设计术要求:
(1)秒、分为00〜59六十进制计数器
⑵时为00〜23二十四进制计数器
(3)可手动校正:能分别进行秒、分、时 的校正。只要将开关置于手动位置,可分 别对秒、分、时 进行手动脉冲输入调整或连续脉冲输入校正。并且可以手动按下 脉冲进行清零。
加了许多课本以外的知识。更加了解了时序逻辑电路的设计步骤及方法,对时序逻 辑电路的触发方式的理解更加深刻即同步连接方式和异步连接方式的了解。掌握了abel-hdl语言以及ispEXPERT的使用以及对GAL系列芯片的设计方法有进一步的了 解,和4040、M74LS125AP三态门芯片引脚结构和功能的理解及运用。其次就是考 虑问题要周全,即使是一开始认为对的东西,也要用怀疑的心态来看待它,这样才 能发现问题,从而解决问题。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计数字电子钟是一种通过电子元器件来显示时间的设备。

它采用数字显示方式,能够精确地显示时、分、秒,并具备时间设置、闹钟功能等。

本文将为您介绍一种数字电子钟的逻辑电路设计。

一、设计目标本设计旨在实现一个简单且稳定的数字电子钟,具有以下功能:1. 显示当前的时、分、秒;2. 具备设置时间的功能;3. 具有闹钟功能,能在设定的时间触发闹钟;4. 使用稳定的时钟信号,确保显示的准确性。

二、设计思路1. 时钟信号时钟信号是数字电子钟的核心,它提供了每一秒的时间基准。

我们可以使用晶体振荡器作为时钟信号源,晶体振荡器能提供稳定的频率信号,确保显示的准确性。

2. 计时功能数字电子钟需要精确地计时,因此需要设计一个计时模块。

我们可以使用可编程计数器作为计时模块,根据时钟信号的频率,在每个计时周期内加1,从而实现精确的计时功能。

3. 数码管显示为了显示时、分、秒等信息,我们需要使用数码管。

数码管由多个数码管单元组成,每个数码管单元可以显示一个数字(0-9)。

通过控制每个数码管单元的输入信号,我们可以实现相应的数字显示。

4. 设置功能为了实现设置时间的功能,我们可以使用开关和触发器。

当用户按下设置按钮时,触发器会将时、分、秒数据锁存,并将数据传输到计时模块中。

用户可以通过增加或减少按键来调整时间,同时按下确认按钮后,触发器会将锁存的时间数据传输到计时模块中,实现时间的设置。

5. 闹钟功能为了实现闹钟功能,我们可以设置一个闹钟触发器模块。

用户可以按下闹钟设置按钮,将所需闹钟时间输入到触发器中,并按下确认按钮进行确认。

当达到设定的闹钟时间时,触发器会输出一个高电平信号,触发闹钟。

三、电路设计1. 时钟信号部分时钟信号部分使用晶体振荡器作为时钟源,通过稳压电源提供适当的电压,并通过数字时钟芯片将信号引入。

2. 计时功能部分计时功能部分由可编程计数器组成,计数器的时钟输入与时钟信号相连接,使其能够按照时钟信号的频率进行计数。

数字逻辑电路课设—简易数字钟设计

数字逻辑电路课设—简易数字钟设计

数字逻辑电路课程设计报告多功能数组钟设计一、设计要求:通过Maxplus II使用VHDL语言编写设计一款多功能数字钟,具体功能如下:1、时钟时,分,秒分别显示且能正确计数。

2、整点报时,时钟在将要到达整点的最后十秒,给予蜂鸣提示。

3、校时,可以通过相应开关按钮对时钟的时分秒进行调整。

4、闹钟,用户可以预设闹铃时刻,当时间到达该时刻时,发出蜂鸣提示。

二、总体设计:1、设计框图:2、外部输入输出要求:外部输入要求:输入信号有1024Hz时钟信号、低电平有效的秒清零信号CLR、低电平有效的调分信号SETmin、低电平有效的调时信号SEThour;外部输出要求:整点报时信号SOUND(59分51/3/5/7秒时未500Hz低频声,59分59秒时为1kHz高频声)、时十位显示信号h1(a,b,c,d,e,f,g)、时个位显示信号h0(a ,b,c,d,e,f,g)、分十位显示信号m1及分个位m0、秒十位s1及秒个位s0;数码管显示位选信号SEL0/1/2等三个信号。

3、各模块功能:1)FREQ分频模块:整点报时用的1024Hz与512Hz的脉冲信号,这里的输入信号是1024Hz信号,所以只要一个二分频即可;时间基准采用1Hz输入信号直接提供(当然也可以分频取得,这里先用的是分频取得的信号,后考虑到精度问题而采用硬件频率信号。

2)秒计数模块SECOND:60进制,带有进位和清零功能的,输入为1Hz脉冲和低电平有效的清零信号CLR,输出秒个位、时位及进位信号CO。

3)分计数模块MINUTE60进制,带有进位和置数功能的,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位及进位信号CO。

4)时计数模块HOUR:24进制,输入为1Hz脉冲和高电平有效的使能信号EN,输出分个位、时位。

5)扫描模块SELTIME:输入为秒(含个/十位)、分、时、扫描时钟CLK1K,输出为D和显示控制信号SEL。

6)整点报时功能模块ALERT:输入为分/秒信号,输出为高频声控Q1K和Q500。

数字逻辑课程设计报告 电子钟

数字逻辑课程设计报告 电子钟

数字逻辑课程设计报告电子钟数字逻辑课程设计报告-电子钟数字逻辑电路―课程设计报告数字逻辑课程设计报告-----多功能数字钟的同时实现一.设计目的:1.学会应用领域数字系统设计方法展开电路设计。

2.进一步提高maxplusii软件开发应用领域能力。

3.培育学生综合实验能力。

二.实验仪器与器材:1、开发软件maxplusii软件2、微机3、isp实验板se_3型isp数字实验开发系统4、打印机三.实验任务及建议设计一个多功能数字钟:1.能进行正常的时、分、秒计时功能。

1)用m6m5展开24十进制小时的表明;2)用m4m3展开60十进制分的表明;3)用m2m1进行60进制秒的显示。

2.利用按键实现“校时”、“校分”和“秒清单”功能。

1)按下sa键时,计时器快速递减,按24小时循环,并且计满23时返回00。

2)按下sb键时,计时器迅速递增,按60小时循环,并且计满59时回到00,但不向时进位。

3)按下sc,秒清零。

建议按下“sa”或“sb”均不能产生数字LBP(“sa”、“sb”按键就是存有晃动的,必须对“sa”“sb”展开窭晃动处置。

)3.能够利用实验板上的扬声器并作整点报时功能。

1)当计时到达59分50秒时开始报时,在59分50、52、54、56、58秒鸣叫,鸣叫声频为500hz。

2)抵达59分后60秒时为最后一声整点报时。

整点报时的频率为1kz。

4.能够惹出时1)闹时的最小时间间隙为10分钟。

2)惹出时长度为1分钟。

3)惹出时声响就是单频的。

5.用maxplusii软件设计符合以上功能要求的多功能数字钟,并用层次化设计方法设计该电路。

1)通过语言同时实现各模块的功能,然后再图画出高电路的顶层图。

2)消抖电路可以通过设计一个d触发器来实现,sa、sb、sc等为包含抖动的诸如信号,而电路的输出则是一个边沿整齐的输出信号。

3)其他的计时功能、表明功能、多路挑选功能、分频功能、报时功能和惹出时等功能模块都用vhdl语言实现。

数字逻辑EDA电子钟课程设计报告

数字逻辑EDA电子钟课程设计报告

多功能数字钟设计说明:1.系统顶层框图:各模块电路功能如下:1.秒计数器、分计数器、时计数器组成最根本的数字钟,其计数输出送7段译码电路由数码管显示。

2.基准频率分频器可分频出标准的1HZ频率信号,用于秒计数的时钟信号;分频出4HZ频率信号,用于校时、校分的快速递增信号;分频出64HZ频率信号,用于对按动"校时〞,"校分〞按键的消除抖动。

2.多功能数字钟构造框图:一、系统功能概述已完成功能1.完成时/分/秒的依次显示并正确计数,利用六位数码管显示;2.时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能;3.定时器:实现整点报时,通过扬声器发出上下报时声音;4.时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进展调整;5.闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃。

有静音模式。

待改良功能:1. 系统没有万年历功能,正在思考设计方法。

2. 应添加秒表功能。

二、系统组成以及系统各局部的设计1.时计数模块时计数模块就是一个2位10进制计数器,记数到23清零。

VHDL的RTL描述如下:----t_h.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entityt_h isport(en,clk,clr:in std_logic;dout:out std_logic_vector(7 downto 0);c:out std_logic);endt_h;architecture rtl oft_h issignal t:std_logic_vector(7 downto 0);beginprocess(en,clk,clr)variable t:std_logic_vector(7 downto 0);beginif en='1' then --异步使能if clk 'event and clk='1' thent:=t+1;if t(3 downto 0)=*"A" then --个位等于10则十位加1t(7 downto 4):=t(7 downto 4)+1;t(3 downto 0):=*"0"; --个位清零end if;if t>*"23" then --大于23清零t:=*"00";end if;end if;if clr='1' then --异步清零t:=*"00";end if;end if;dout<=t;end process;end rtl;时计数器模块仿真波形如下从仿真波形可知,当计数到23时,下一个时钟上升沿到来时就清零了,符合设计要求。

数字电子钟电路

数字电子钟电路

数字电子钟逻辑电路设计摘要本次数字时钟电路设计使用了三片74LS161二进制计数器,三片74LS160十进制计数器和一片74LSOO二输进四与非门采纳异步连接设计构成数字电子钟。

分、秒均使用60进制循环计数,时使用24进制循环计数。

要害词电子时钟;清零;循环计时1设计任务及要紧技术指标和要求1.1设计任务:用中小规模集成电路设计一台能显示时,分,秒的数字电子钟。

1.2要紧技术指标和要求:由555定时器产生1Hz的标准秒信号。

秒、分为00~59进制计数器时为00~23二十四进制计数器。

2引言数字电子钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,具有走时正确、显示直瞧、无机械传动装置等优点,因而得到广泛的应用。

如,日常生活中的电子手表,车站、码头、机场等公共场所的大型数显电子钟。

3工作原理数字电子钟所采纳的是十六进制计数器74LS161和十进制计数器74SL160,依据时分秒各个局部的的不同功能,设计成不同进制。

秒的个位,需要10进制计数器,十位需6进制计数器〔计数到59时清零并进位〕。

秒局部设计与分钟的设计完全相同;时局部的设计为当时钟计数到24时,使计数器的小时局部清零,从而实现整体循环计时的功能。

3.14位同步计数器74LS161引足结构图,如图1〔74SL160的引足结构与74SL161完全相同〕:3.2二输进四与非门74LS00引足结构图,如图2:3.374LS161功能如表1所示:3.4非门真值表如表2所示:表174LS161功能表4电路组成局部4.1计数局部:利用74LS161芯片,74LS160芯片和74LS00芯片组成的计数器,它们采纳异步连接,利用外接标准1Hz脉冲信号进行计数。

4.2显示局部:将三片74LS161芯片和三片74LS60的Q0Q1Q2Q3足分不接到实验箱上的数码显示管上,依据脉冲的个数显示时刻。

5设计步骤及方法所有74LS161芯片和74LS160的16足接5V电源(置为1),3足、4足、5足、6足和8足接地〔置为0〕。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计

内蒙古师范大学计算机与信息工程学院《数字逻辑》课程设计报告数字电子钟逻辑电路设计摘要数字电子钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所得大型数显示电子钟。

数字电子钟由以下几部分组成:石英晶体震荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器及二十四进制计时计数器,以及秒、分、时的译码显示部分等。

秒计数器的十位和分计数器的十位采用六进制,其他位采用十进制。

关键词74LS161 芯片;60 进制转换;24 进制转换;数码管。

正文:1设计任务及主要技术指标和要求任务及主要指标:用中、小规模集成电路设计一台能显示时、分的数字电子钟。

要求如下:1 由数字逻辑试验箱提供1Hz 的标准秒信号。

2分为00〜59六十进制计数器。

3时为00〜23二十四进制计数器。

2工作原理数字电子钟的秒脉冲发生器由电路箱提供,计数部分主要由4 个161 芯片和2个00芯片组成。

使用置数法连接电路实现进位。

设11〜14 引脚为Q0 〜Q3:(1)分的连接方法分的个位上逢十秒进一,先将161 的十六进制改为十进制,将分的个位上的C3和Q0用与非门连接后接到LD反和下一个芯片(分的十位)的CP上, 即Q3 Q2 Q i Q o=OOOO开始,变到Q3 Q2 Q i Q o=1001时,则分的个位向十位进一。

分的十位逢六进一。

分的十位上的Q2 和Q0 用与非门连接后接到LD 反和下一个芯片(时的个位)的CP上,从Q3QQ Q)=OOOO开始,到Q3 Q2 Q Q O=O1O1 时,则分的十位向分的个位进一。

(2)时的连接方法当时的个位的Q3 Q2 Q1 Q O=OOOO 开始,当Q3 Q2 Q1 Q O=O1OO 时,则时的个位向时的十位进一。

当时的十位的C3 Q2 Q i Q O=OOOOO开始,C3 Q2 Q iQ O=OO1O 时,时的个位的Q3 Q2 Q1 Q O=O1OO 时,为了完成满24 点的清零,要将时的个位上的Q2 与十位上的Q i 用与非门连接后的输出端接到个位和十位的CR反上,即完成了芯片间的部分组合。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计
在对震荡频率稳定性很高的地方,都需要采取稳频措施,其中最常用的方法之一就是利用石英谐振器——简称石英晶体,构成石英晶体多谐振荡器。G1与R、晶体、C1、C2、构成电容三点式振荡电路。R是偏置电阻,取值在10~100MΩ之间,它的作用是保证在静态时,G1能工作在其电压传输特性的转折区——线性放大状态。C1、晶体、C2组成π型选频反馈网络,电路只能在晶体谐振频率f0处产生自激震荡。反馈系数由C1、C2之比决定,改变C1可以微调振荡频率,C2是温度不常用电容。
译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS48是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,表2列出了74LS48的真值表,表示出了它与数码管之间的关系。
6.74LS48的功能介绍
表274LS48显示译码器真值表
原理是当由晶振产生32768HZ的脉冲经过CD4060的14次分频后,输出一2HZ的脉冲,在经过一个由D触发器构的T’触发器后输出所需的1HZ秒脉冲。
2
关于0—59的六十进制、0-23的二十四进制及1-7的七进制可用计数器来实现,我们用的是二进制计数器74LS161,由于我们对于此电路的实现非常熟悉,因此我就不在此多做赘述。
RC震荡电路产生的频率稳定度不够高。第一,转换电平受温度变化和电源波动的影响;第二,电路的工作方式易受干扰,从而使电路状态转换提前或滞后;第三,电路转换时,电容充、放电的过程已经比较缓慢,转换电平的微小变化或者干扰对震荡周期影响都比较大。因此,在震荡电路中加以晶体,其作用是对振荡电路中频率的稳定,使构成石英多谐振荡器。具体电路图如图电路图7所示,图10是它的等效图。
5
Q1
同相位输出

多功能数字钟电路设计指导书及仿真图,绝对能用

多功能数字钟电路设计指导书及仿真图,绝对能用

课题一数字电子钟逻辑电路设计一、简述数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。

小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。

数字电子钟的电路组成方框图如图1.1所示。

图1.1数字电子钟框图由图1.1可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分等。

二、设计任务和要求用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下:1.由晶振电路产生1Hz标准秒信号。

2.秒、分为00~59六十进制计数器。

3. 时为00~23二十四进制计数器。

4. 周显示从1~日为七进制计数器。

5. 可手动校时:能分别进行秒、分、时、日的校时。

只要将开关置于手动位置,可分别对秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。

6. 整点报时。

整点报时电路要求在每个整点前呜叫五次低音(500Hz ),整点时再呜叫一次高音(1000Hz )。

三、可选用器材1. 通用实验底板2. 直流稳压电源3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路4. 晶振:32768 Hz5. 电容:100μF/16V 、22pF 、3~22pF 之间6. 电阻:200Ω、10K Ω、22M Ω7. 电位器:2.2K Ω或4.7K Ω8. 数显:共阴显示器LC5011-119. 开关:单次按键 10. 三极管:8050 11. 喇叭:1 W /4,8Ω四、设计方案提示根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计。

1. 秒脉冲发生器脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲。

数字电子钟逻辑电路设计《EDA技术》课程设计报告

数字电子钟逻辑电路设计《EDA技术》课程设计报告

数字电子钟逻辑电路设计《EDA技术》课程设计报告序号综合成绩优秀()良好()中等()及格()不及格()教师(签名)批改日期《EDA技术》课程设计报告课题:数字电子钟逻辑电路设计院系电子与电气工程学院专业电气工程及其自动化班级学号姓名指导教师起止日期2014-12-18至2014-12-192014年X月目录一、课程设计任务及要求11.1实验目的1 1.2功能设计1二、整体设计思想12.1性能指标及功能设计1 2.2总体方框22.3FPGA芯片介绍2三、编译与调试33.1数字钟的基本工作原理:3 3.1.1调时、调分信号的产生33.1.2计数显示电路43.2设计思路4 3.3设计步骤5 3.3.1工程建立及存盘5 3.3.2工程项目的编译53.3.3时序仿真63.3.4引脚锁定6 3.3.5硬件测试63.3.6实验结果7四、程序设计8五、实验电路图165.1实验原理图165.2PCB图16六、心得体会17七、参考文献18一、课程设计任务及要求1.1实验目的1)掌握VHDL语言的基本运用2)掌握QuartusII的简单操作并会使用EDA 实验箱3)掌握一个基本EDA课程设计的操作 1.2功能设计要求显示格式为小时-分钟-秒钟,整点报时,报时时间为5秒,即从整点前5秒钟开始进行报时提示,LED开始闪烁,过整点后,停止闪烁。

调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时,S2调整分钟,每按下一次,分钟增加一分钟。

另外用S8按键作为系统时钟复位,复位后全部显示00-00-00。

二、整体设计思想 2.1性能指标及功能设计1)时、分、秒计时器时计时器为一个24进制计数器,分、秒计时器均为60进制计数器。

当秒计时器接受到一个秒脉冲时,秒计数器开始从00计数到59,此时秒显示器将显示00、01、02、...、59、00;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示00、01、02、...、59、00;每当分计数器数到00时,就会产生一个脉冲输出送至时计时器,此时时计数器数值在原有基础上加1,其显示器将显示00、01、02、...、23、00。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计数字电子钟是一种应用广泛的数字化产品,它不仅方便准确地显示时间,还具备功能丰富、外观美观等优点。

本文将介绍数字电子钟的逻辑电路设计,包括时钟信号输入模块、计数模块、显示模块以及设置功能模块等方面。

一、时钟信号输入模块时钟信号输入模块是数字电子钟的核心模块之一,它负责提供准确的时钟信号供其他模块使用。

在设计时钟信号输入模块时,我们可以采用晶振作为时钟源,通过将晶振输出的脉冲信号进行适当的处理,得到精确的时钟信号。

具体而言,我们可以通过使用频率分频电路,将晶振输出的高频脉冲信号分频成我们需要的低频时钟信号。

这样能够降低电路的复杂度,提高系统的稳定性和可靠性。

二、计数模块计数模块是实现数字电子钟时间计数功能的核心模块。

在设计计数模块时,我们可以采用分秒计数和时分计数两种方式。

对于分秒计数,我们可以使用两个计数器分别表示分钟和秒钟,当秒钟计数到59时,分钟计数器加1,同时秒钟计数器清零,从而实现分秒的连续计数。

对于时分计数,我们可以使用两个计数器分别表示小时和分钟,同样采用类似的逻辑实现。

当分钟计数到59时,小时计数器加1,同时分钟计数器清零,从而实现时分的连续计数。

三、显示模块显示模块是数字电子钟的重要组成部分,它负责将计数模块得到的时间信息以合适的形式显示出来。

在设计显示模块时,我们可以采用数码管来显示时间信息。

数码管是一种方便实用的数字显示元件,它可根据控制信号显示0至9的数字。

我们可以通过将计数器输出的二进制信号转换为对应的数码管控制信号,从而实现时间的数字显示。

四、设置功能模块设置功能模块是数字电子钟的附加功能之一,它可以实现时间的设置和调整。

在设计设置功能模块时,我们可以引入按钮和开关等输入元件,通过对输入元件状态的检测和判断,实现时间的设置和调整。

具体而言,我们可以设计一个按钮矩阵用于选择要设置的时间单位(例如时、分、秒),再通过加减按钮来实现时间数值的单步增减操作。

数字时钟课程设计报告 逻辑电路

数字时钟课程设计报告 逻辑电路

数字钟课程设计报告班级08级自动化2班姓名聂文强学号2008550221指导老师肖业伟日期2010.11.10数字钟课程设计报告一、设计目的通过设计与实践,制作出具有准确显示小时、分、秒的数字钟,且可以校时。

二、功能要求以数字形式显示时、分、秒的时间,小时计数器要求“2翻1”,并要求能手动快校时、快校分或慢校时、慢校分。

三、所需器件及其功能1、七段显示共阴数码管(6个):数码管是一种半导体发光器件,其基本单元是发光二极管。

共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。

共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。

当某一字段的阳极为低电平时,相应字段就不亮。

2、74LS48(6个):七段译码器。

74LS48引脚图3、74LS160(6个):十进制同步计数器。

其引脚图如下图所示:74LS160引脚图4、555定时器(1个):555 定时器是一种模拟和数字功能相结合的中规模集成器件。

外接几个电阻和电容可以形成多谐振动器,可以产生脉冲。

5、74LS00(2个):四2输入与非门。

74LS00引脚图6、74LS04(1个):六反向器。

74LS04引脚图7、74LS20(1个):双四输入与非门。

74LS20引脚图8、10uF电容(2个),10nF电容(2个)9、3.3KO电阻(2个),3KO电阻(1个),68KO电阻(1个),2KO可调电阻(1个)10、导线若干11、电路板(1块)需要用到的工具:剥线钳,电烙铁,烙铁架,焊锡,万用表,示波器,电源,镊子。

四、设计步骤1. 数字电子计时器组成原理数字电子计时器的结构框图如下图所示:2、用74LS160实现12进制计数器用整体置零法构成的12进制计数器3、校时电路当接通电源或时钟走时出现误差时,都需要进行时间的校准。

校时是数字钟应用的基本功能,一般电子钟都有时、分、秒校时的功能。

数字电子钟逻辑电路设计 [文档在线提供]

数字电子钟逻辑电路设计 [文档在线提供]

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告设计题目数字电子钟逻辑电路设计指导教师戚桂美职称讲师姓名勿日勒学号200618524日期2008-10-24数字电子钟逻辑电路设计计算机与信息工程学院 2006级2班勿日勒 200018524指导教师戚桂美讲师摘要本次数字时钟电路设计使用了三片74LS161二进制计数器,三片74LS160十进制计数器和一片74LSOO二输入四与非门采用异步连接设计构成数字电子钟。

分、秒均使用60进制循环计数,时使用24进制循环计数。

关键词电子时钟;清零;循环计时1设计任务及主要技术指标和要求1.1 设计任务:用中小规模集成电路设计一台能显示时,分,秒的数字电子钟。

1.2 主要技术指标和要求:1.2.1 由555定时器产生1Hz的标准秒信号。

1.2.2 秒、分为00~59进制计数器1.2.3 时为00~23二十四进制计数器。

2引言数字电子钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛的应用。

如,日常生活中的电子手表,车站、码头、机场等公共场所的大型数显电子钟。

3工作原理数字电子钟所采用的是十六进制计数器74LS161和十进制计数器74SL160,根据时分秒各个部分的的不同功能,设计成不同进制。

秒的个位,需要10进制计数器,十位需6进制计数器(计数到59时清零并进位)。

秒部分设计与分钟的设计完全相同;时部分的设计为当时钟计数到24时,使计数器的小时部分清零,从而实现整体循环计时的功能。

3.1 4位同步计数器74LS161引脚结构图,如图1(74SL160的引脚结构与74SL161完全相同):3.2 二输入四与非门74LS00引脚结构图,如图2:3.3 74LS161功能如表1所示:3.4 非门真值表如表2所示:输入输出P T CP C D1D2D3Q0Q1Q2Q3 L ××××××××L L L LH L ××↑D0D1D2D3D0D1D2D3H H H H ↑××××计数H H L ××××××保持H H ×L ×××××保持表1 74LS161功能表A B Y0 0 10 1 11 0 11 1 04电路组成部分4.1 计数部分:利用74LS161芯片,74LS160芯片和74LS00芯片组成的计数器,它们采用异步连接,利用外接标准1Hz脉冲信号进行计数。

数字电路课程设计 数字钟逻辑电路设计

数字电路课程设计 数字钟逻辑电路设计

数字电路课程设计数字钟逻辑电路设计
数字钟逻辑电路设计可以参考如下步骤:
1. 确定所需功能:数字钟通常需要显示当前时间、设置闹钟、调整时间等功能。

根据需求确定需要实现的功能。

2. 设计时钟计时电路:时钟计时电路可以使用时钟发生器和计时器组合实现。

时钟发生器用于产生稳定的时钟信号,计时器用于记录时间。

可以选择使用74系列的计数器和分频器来实现。

3. 设计时钟显示电路:时钟显示电路可以使用数码管显示时钟的小时与分钟。

可以使用BCD码->数码管译码器芯片来实现。

4. 设计闹钟功能电路:闹钟功能可以使用定时器和蜂鸣器组合实现。

定时器用于设置闹钟时间,蜂鸣器用于发出闹钟提醒声音。

5. 设计按钮控制电路:按钮控制电路可以使用触发器和门电路组合实现。

触发器用于存储按钮状态,门电路用于控制不同功能的触发。

6. 连接各个模块:根据设计的电路模块连接各个模块,确保信号的正确传递和相互配合。

7. 进行测试和调试:对设计的数字钟逻辑电路进行测试和调试,确保各个功能都可以正常工作。

注意:数字钟逻辑电路设计需要具备一定的数字电路知识和电路设计经验。

在实际设计过程中可能还需要考虑一些细节问题,如时钟信号的精度、电源电压稳定性等。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计

4.译码、显示电路
译码、显示很简单,采用共阴极LED数码管LC5011-11和 译码器74LS248,当然也可用共阳数码管和译码器。
5.整点报时电路
当计数到整点的前6秒钟,此时应该准备报时。当分计到59 分时,将分触发器QH置1,而等到秒计数到54秒时,将秒触发 器QL置1,然后通过QL与QH相与后再和1 s标准秒信号相与而去 控制低音喇叭鸣叫,直至59秒时,产生一个复位信号,使QL清 0,停止低音鸣叫,同时59秒信号的反相又和QH相与后去控制 高音喇叭鸣叫。当计到分、秒从59:59~00:00时,鸣叫结束,完 成整点报时。
1.3 设计方案提示
1.秒脉冲发生器
如图9-5所示为秒脉冲发生器的电路结构。
图9-5 秒脉冲发生器的电路结构
2.计数译码显示
以“日”计数译码显示为例,设计七进制计数器时,应根据译 码显示器的状态表进行(日用数字8代替),如表9-1所示。
Q4
Q3
Q2
Q1
1
0
0
0
0
0
0
1
0
0
1
0
0
0
1
1
0
1
0
0
0
1
1.4 主要元器件选择
数字电子钟的主要元器件如下。
(1)通用实验底板。 (2)直流稳压电源。 (3)集成电路:CD4060、74LS74、74LS161、74LS248及门电路。 (4)晶振:32 768 Hz。 (5)电容:100 μF/16 V、22 pF、3~22 pF之间。 (6)电阻:200 Ω、10 kΩ、22 MΩ。 (7)电位器:2.2 kΩ或4.7 kΩ。 (8)数显:共阴显示器LC5011-11。 (9)开关:单次按键。 (10)三极管:8050。

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计

数字电子钟逻辑电路设计
数字电子钟的逻辑电路设计包括以下步骤:
1. 时钟信号产生器设计:时钟信号产生器是整个数字电子钟的核心部分,它能够产生一个精确的方波信号,用于控制电子时钟的计时。

时钟信号产生器的设计可以使用基本的RC或LC
谐振电路,也可以使用晶体振荡器电路。

2. 计时器设计:数字电子钟需要计时器来记录时间。

计时器一般由两个计数器构成,分别用于计时小时和分钟。

计时器的设计可以使用74系列逻辑门或计数器芯片,例如CD4017。

3. 显示器设计:数字电子钟需要显示器来显示时间。

显示器可以采用数码管或液晶显示屏两种形式。

如果使用数码管,则需要使用BCD到七段数码管转换器芯片;如果使用液晶显示屏,则需要使用驱动芯片来控制液晶显示。

4. 声音效果设计:数字电子钟可以加入报时的声音效果。

声音效果可以使用蜂鸣器或喇叭来实现,需要使用驱动芯片来控制。

5. 电源和外围接口设计:数字电子钟需要电源供电,也需要与外围设备进行通信。

因此,电源和外围接口的设计也是数字电子钟的重要组成部分。

以上就是数字电子钟的逻辑电路设计的基本步骤,通过这些步骤可以实现一个稳定、精确的数字电子钟。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电子钟逻辑电路设计一、简述
数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用;小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟;
数字电子钟的电路组成方框图如图所示;
图数字电子
钟框图
由图可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制或十二进制计时计数器;秒、分、时的译码显示部分等;
二、设计任务和要求
用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下:
1.由晶振电路产生1Hz标准秒信号;
2.秒、分为00~59六十进制计数器;
3. 时为00~23二十四进制计数器;
4. 周显示从1~日为七进制计数器;
5. 可手动校时:能分别进行秒、分、时、日的校时;只要将开关置于手动位置,可分别对秒、分、
时、日进行手动脉冲输入调整或连续脉冲输入的校正;
6. 整点报时;整点报时电路要求在每个整点前呜叫五次低音500Hz,整点时再呜叫一次高音1000Hz;
三、可选用器材
1. 通用实验底板
2. 直流稳压电源
3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路
4. 晶振:32768 Hz
5. 电容:100μF/16V 、22pF 、3~22pF 之间
6. 电阻:200Ω、10K Ω、22M Ω
7. 电位器:Ω或Ω
8. 数显:共阴显示器LC5011-11
9. 开关:单次按键
10. 三极管:8050
11. 喇叭:1 W /4,8Ω
四、设计方案提示
根据设计任务和要求,对照数字电子钟的框图,可以分以下几部分进行模块化设计;
1. 秒脉冲发生器
脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz 的秒脉冲;如晶振为32768 Hz,通过15次二分频后可获得1Hz 的脉冲输出,电路图如图所示;
74LS74
1Hz
图 秒脉冲发生器
2. 计数译码显示
秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制;时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了;
周为七进制数,按人们一般的概念一周的显示日期“日、1、2、3、4、5、6”,所以我们设计这个七进制计数器,应根据译码显示器的状态表来进行,如表所示;
按表状态表不难设计出“日”计数器的电路日用数字8代替;
所有计数器的译码显示均采用BCD—七段译码器,显示器采用共阴或共阳的显示器;
表状态表
3.校时电路
在刚刚开机接通电源时,由于日、时、分、秒为任意值,所以,需要进行调整;
置开关在手动位置,分别对时、分、秒、日进行单独计数,计数脉冲由单次脉冲或连续脉冲输入;
4.整点报时电路
当时计数器在每次计到整点前六秒时,需要报时,这可用译码电路来解决;即
当分为59时,则秒在计数计到54时,输出一延时高电平去打开低音与门,使报时声按500Hz频率呜叫5声,直至秒计数器计到58时,结束这高电平脉冲;当秒计数到59时,则去驱动高音1KHz频率输出而鸣叫1声;
五、参考电路
数字电子钟逻辑电路参考图如图所示;
图数字电子钟逻辑电路参考图
六、参考电路简要说明
1. 秒脉冲电路
由晶振32768Hz经14分频器分频为2Hz,再经一次分频,即得1Hz标准秒脉冲,供时钟计数器用;
2. 单次脉冲、连续脉冲
这主要是供手动校时用;若开关K1打在单次端,要调整日、时、分、秒即可按单次脉冲进行校正;如K1在单次,K2在手动,则此时按动单次脉冲键,使周计数器从星期1到星期日计数;若开关K1处于连续端,则校正时,不需要按动单次脉冲,即可进行校正;单次、连续脉冲均由门电路构成;
3. 秒、分、时、日计数器
这一部分电路均使用中规模集成电路74LS161实现秒、分、时的计数,其中秒、分为六十进制,时为二十四进制;从图3中可以发现秒、分两组计数器完全相同;当计数到59时,再来一个脉冲变成00,然后再重新开始计数;图中利用“异步清零”反馈到/CR端,而实现个位十进制,十位六进制的功能;
时计数器为二十四进制,当开始计数时,个位按十进制计数,当计到23时,这时再来一个脉冲,应该回到“零”;所以,这里必须使个位既能完成十进制计数,又能在高低位满足“23”这一数字后,时计数器清零,图中采用了十位的“2”和个位的“4”相与非后再清零;
对于日计数器电路,它是由四个D触发器组成的也可以用JK触发器,其逻辑功能满足了表1,即当计数器计到6后,再来一个脉冲,用7的瞬态将Q4、Q3、Q2、Q1置数,即为“1000”,从而显示“日”8;
4.译码、显示
译码、显示很简单,采用共阴极LED数码管LC5011-11和译码器74LS248,当然也可用共阳数码管和译码器;
5.整点报时
当计数到整点的前6秒钟,此时应该准备报时;图3中,当分计到59分时,
将分触发器QH置1,而等到秒计数到54秒时,将秒触发器QL置1,然后通过QL与QH相与后再和1s标准秒信号相与而去控制低音喇叭呜叫,直至59秒时,产生一个复位信号,使QL清0,停止低音呜叫,同时59秒信号的反相又和QH相与后去控制高音喇叭呜叫;当计到分、秒从59:59—00:00时,呜叫结束,完成整点报时;
6.呜叫电路
呜叫电路由高、低两种频率通过或门去驱动一个三极管,带动喇叭呜叫;1KHz
和500Hz从晶振分频器近似获得;如图中CD4060分频器的输出端Q5和Q6;Q5输出频率为1024Hz,Q6输出频率为512Hz;。

相关文档
最新文档