Verilog编写的3-8译码器电路代码

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Verilog编写的3-8译码器电路代码

/******************************************************************************

******************************************************************************* */

// module top, a 3-8 decoder

module top(

IN , // input

OUT ); // output

input [2:0] IN;

output[7:0] OUT;

reg [7:0] OUT;

// get the OUT

always @ (IN) begin

case(IN)

3'b000: OUT = 8'b0000_0001;

3'b001: OUT = 8'b0000_0010;

3'b010: OUT = 8'b0000_0100;

3'b011: OUT = 8'b0000_1000;

3'b100: OUT = 8'b0001_0000;

3'b101: OUT = 8'b0010_0000;

3'b110: OUT = 8'b0100_0000;

3'b111: OUT = 8'b1000_0000;

// the full case need no default

endcase

end

endmodule

// endmodule top

相关文档
最新文档