十字路口的交通灯控制电路设计说明
十字路口交通灯控制设计—霓虹灯控制设计(PLC设计课件)
任务二
霓虹灯控制设计
按下启动按钮,霓虹灯由内向外, 再由外向内点亮
一、控制要求分析
控制要求
启钮SB1[按下→松开]
[霓虹灯亮(由内至外,再由外至内)]
停钮SB2[按下→松开]
霓虹灯[灭]
一、控制要求分析
最内圈A灯亮1-5S
一、控制要求分析
中间一圈8个 灯亮2-4S
项目三:十字路口交通灯控制设计
任务二
霓虹灯控制设计
按下启动按钮,霓虹灯由内向外, 再由外向内点亮
二、硬件电路-I/O分配
设备
输入 输出
符号
SB1 SB2 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15
功能
启动按钮(常开触点) 停止按钮(常开触点)
A灯 B灯 C灯 D灯 E灯 F灯 G灯 H灯 I灯 J灯 K灯 L灯 M灯 N灯 O灯
地址
I0.0 I0.1 Q0.0 Q0.1 Q0.2 Q0.3 Q0.4 Q0.5 Q0.6 Q0.7 Q1.0 Q1.1 Q1.2 Q1.3 Q1.4 Q1.5 Q1.6
二、硬件电路-PLC外部电路接线
A B C D E F G H I J K LM N O
任务二
霓虹灯控制设计
按下启动按钮,霓虹灯由内向外, 再由外向内点亮
四、梯形图设计---接通延时定时器
四、梯形图设计---接通延时定时器
6S瞬时断开 完成循环
并联 ,同时 开始 计时
四、梯形图设计---接通延时定时器
并联 ,同时 开始 计时
四、梯形图设计---接通延时定时器
四、梯形图设计---接通延时定时器
交通灯控制电路的设计(实验报告)
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
十字路口交通灯控制设计_十字路口交通灯控制设计(PLC设计课件)
接通, 当T1定时器ET值大于1s时,
接通,Q0.0得电,
A灯亮; 当T1定时器ET值大于2s时,
断开,Q0.0失电,A灯灭。
三、举例
任务3 十字路口交通灯控制设计
M0.0
T#4S
T1
TON
Time
IN
Q
PT
ET
T1.ET
T1.ET
Q0.1
B灯
T#2S
T#3S
M0.0启动后,T1定时器开始定时,ET值开始发生变化;此时ET值小于3s,
任务3 十字路口交通灯控制设计
一、比较指令符号
可以使用“等于”操作确定第一个 比较值是否等于第二个比较值。 要比 较的两个值必须为相同的数据类型。
该 LAD 触点比较结果为 TRUE 时, 则该触点会被激活。 在程序编辑器中 单击该指令后,可以从下拉菜单中选择 比较类型和数据类型。
任务3 十字路口交通灯控制设计
输出继电器 Q0.0 Q0.1 Q0.2 Q0.3 Q0.4 Q0.5
输出
输出元件 东西方向绿灯HL1 东西方向黄灯HL2 东西方向红灯HL3 南北方向绿灯HL4 南北方向黄灯HL5 南北方向红灯HL6
任务3 十字路口交通灯控制设计
二、硬件电路-PLC外部电路接线
24V
输出
电源
L N PE
QF
FU 1
三、梯形图设计
比较指令
按下启动按钮,当定时时间到达3S时,灯亮,定时时间到达5S时,灯灭。
一个定时器,完成3S,5S控制
循环
T0
M0.0 T0.Q
TON
Time
IN
Q
T#5s PT
ET
3S灯亮,5S灯灭
EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器
交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
十字路口交通灯的PLC控制(课程设计)
编号潍坊学院课程设计课题名称:十字路口交通灯的PLC控制目录第一章绪论 (1)1.1PLC的特点 (1)1.2PLC的结构 (2)1.3PLC的主要功能 (3)1.4PLC的发展趋势 (3)第二章方案选择与实现 (5)2.1方案选择 (5)2.2控制对象 (6)2.3控制要求 (6)第三章系统硬件设计 (8)第四章软件设计和调试 (15)4.1流程图 (15)4.2源程序 (18)4.3PLC程序调试 (22)第五章总结 (24)参考文献 (25)十字路口交通灯的PLC控制第一章绪论电气控制技术是随着科学技术的不断发展及生产工艺不断提出新的要求而的到飞速发展的。
在控制方法上,主要是从手动控制到自动控制;在控制功能上,是从简单的控制设备到复杂的控制系统;在操作方式上,有笨重到轻巧;在控制原理上,从有触点的继电器式控制系统到以计算机为核心的“软”控制系统。
随着新的电器元件的不断出现和计算机技术的发展,电器控制技术也在持续发展。
现代电器控制技术正是综合了计算机,自动控制,电子技术和精密测量等许多先进科学技术成果,并得到飞速发展。
社会的发展和进步对各行各业提出了越来越高的要求。
机械加工企业为了提高生产效率和市场竞争力,采用了机械化流水线作业的生产方式,对不同的产品分别组成自动流水线。
产品不断的更新换代,也同时要求相应的控制系统随之改变。
在这种情况下,硬连接方式的继电接触式控制系统不能满足经常更新的要求了。
这是因为,一是成本高,二是周期长。
后来出现的矩阵式控制器和晶体管逻辑控制系统取代继电接触式控制系统,由于这些控制装置仍是硬连接,装置的体积大,功能少,本身存在不足,虽然提高了控制系统的通用性和灵活性。
但均未的到广泛的应用。
随着大规模集成电路和微处理器的发展和应用。
出现了可编程序逻辑控制器。
他把计算机的通用性,功能完备和灵活性好等优点和继电接触式控制系统的操作方便,简单易懂,价格低廉等优点集合起来,因此他是一种适用于工业环境的通用控制装置。
交通灯逻辑控制电路设计
交通灯逻辑控制电路设计
交通灯逻辑控制电路设计是一项必要的交通管理技术,用于控制十字路口的交通流量和秩序。
设计交通灯逻辑控制电路需要充分考虑交通流量、车速、车辆类型等因素,以保证交通流畅和安全。
交通灯逻辑控制电路设计的原理通常是通过安装在各个路口的
传感器、控制器和信号灯来实现的。
传感器用于检测车辆和行人的流量,控制器根据传感器采集的数据来控制信号灯的亮度和颜色,信号灯则会告知驾驶员和行人当前路口的通行状态。
交通灯逻辑控制电路的设计需要考虑多个因素,例如信号灯的时长、颜色切换频率、车辆和行人通行优先级等。
通常,设计师会使用电子控制器或微控制器来实现交通灯逻辑控制电路,以确保电路的可靠性和高效性。
在设计交通灯逻辑控制电路时,需要考虑交通安全和畅通的原则,严格按照交通法规的规定进行操作,以确保驾驶员和行人的安全。
同时,还需要考虑到节能和环保的理念,最大限度地减少能源浪费和环境污染。
总结起来,交通灯逻辑控制电路设计是一项复杂的技术工作,需要依据科学依据和实践经验来进行,以确保交通流畅和安全。
十字路口交通灯控制设计_闪烁灯控制设计(PLC设计课件)
1.掌握闪烁灯显示的时序。 2.熟悉 TIA 软件的基本使用方法。 3.进一步巩固对常规指令的正确理解和使用。 4.根据实训设备,熟练掌握 PLC 的外围 I/O 设备接线方法。
任务1 闪烁灯控制设计
二、实训预习要求
1.熟悉闪烁灯显示的原理。 2.熟悉I/O口的地址分配和PLC接线图的绘制。 3.熟练使用TIA软件进行硬件组态,程序输入,下载,调试。
项目三 十字路口交通灯控制设计
任务1
闪 烁设计灯准控备制 设 计
按下启动按钮,指示灯间隔 一段时间(占空比可调)闪烁
三、梯形图设计
任务1 闪烁灯控制设计
SB1
SB2
三、梯形图设计
任务1 闪烁灯控制设计
定时器T0,2S后延时导 通,常开触点”T0”.Q 延时断开此时间内灯灭
定时器T1,3S延时导通, 输出常闭触点”T1”.Q延 时断开,此时间内灯亮
任务1 闪烁灯控制设计
时序图---闪烁的周期和占空比
例:周期为5S(频率0.2HZ),占空比60%的小灯闪烁
项目三 十字路口交通灯控制设计
任务1
闪 烁设计灯准控备制 设 计
IO分配
任务1 闪烁灯控制设计
二、硬件电路-I/O分配
设备 输入 输出
符号 SB1 SB2 D1
功能 启动按钮(常开触点) 停止按钮(常开触点)
M0.0
T0
TON
Time
IN
Q
T#3S PT
ET
M0.0 T0.ET T0.ET > <=
T#0S T#1S
Q0.0
周期与占空比
T0:控制周期时间 比较指令:控制Q0.0得电时间 改变周期:改变T0的PT设置值 改变占空比:改变比较指令的时间值
十字路口的交通灯控制电路设计.
十字路口的交通灯控制电路设计摘要本论文主要阐述了交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。
俗话说“要想富,先修路”,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。
作为交通控制的重要组成部份的交通信号灯也应国际化。
随着社会经济的发展,城市交通问题越来越引起人们的关注。
人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。
城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。
随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。
然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。
而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。
所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。
以下就交通灯控制系统的电路原理、设计和实验调试等问题来进行具体分析讨论。
关键词:交通灯自动控制定时准确报警高速目录一、对交通信号灯的控制 (3)1.1题目要求 (3)二、交通灯的组成 (4)2.1交通灯控制系统的原理框图 (4)2.2交通灯运行状态 (5)2.2.1一般十字路口的交通灯控制系统的工作过程 (5)三、单元电路的设计 (8)3.1秒脉冲发生器 (8)3.2定时器 (9)3.3控制器 (11)3.4译码器 (13)3.5交通信号灯 (15)3.6整个交通灯控制系统的布局 (15)四、PCB的制作 (15)4.1原理图的绘制 (16)4.2 PCB的排线 (16)五、焊接技术 (16)5.1导线的焊接 (16)5.1.1焊接操作姿势与卫生 (17)5.1.2焊接的基本操作 (17)5.2印制电路板的焊接 (20)5.3 焊后处理 (21)六、仿真过程与效果分析 (21)6.1电路试调 (21)6.1.1调试前的检查 (22)6.2调试中注意的事项 (23)6.3通电后的测试 (24)6.4操作说明 (24)七、元器件清单 (26)八、结束语 (26)九、谢词 (28)十、参考文献 (28)一、对交通信号灯的控制1.1题目要求1.信号灯收一个启动开关控制,当启动开关接通时,信号系统开始工作,且先南北红灯亮,东西绿灯亮。
十字路口交通灯控制电路
1个
(10) 导线若干
五、设计电路
图3 十字路口交通信号灯控制电路
六、设计总结
1. 调试过程中碰到的问题 仿真时信号灯很长时间不发生变化。 2. 分析、解决和处理问题的办法 (1) 问题分析 仿真时与实际不同,导致555定时器产生脉冲的周期与实际不同。 (2) 解决和处理问题的办法 可采用更换信号源进行仿真,或者改变信号发生器的参数,如可改 为R1=44.2Ω, R2=51Ω进行仿真。仿真结束后再与原信号源连接或将 参数改为原值。 3. 设计的心得体会 通过本次综合设计,我掌握了由555定时器构成所需频率脉冲发生 器的方法,对利用JK触发器控制电路的方法有了更为深入的体会。通过 本次综合设计我进一步练习使用仿真软件Multisim,对该软件的操作更 为熟练,这对日后的学习有很大的帮助。综合设计不仅提高了我分析、
十字路口交通灯控制电路
一、设计要求
东西方向通行(南北方向禁止通行)——东西向绿灯亮10秒(南北 方向红灯亮13秒)后东西向黄灯亮3秒;接着南北方向通行(东西方向 禁止通行)——南北向绿灯亮10秒(东西方向禁止通行红灯亮13秒)后 东西向黄灯亮3秒;黄灯亮时,发出警告声响。如图1所示。
图1
二、设计方案分析与选择
2. 所需元器件
(1) 74LS161 1片
(2) 74LS112 1片
(3) 74LS08
1片
(4) 74LS00
1片
(5) 74LS12
1片
(6) 555定时器 1个
(7) 蜂鸣器
2个
(8) 绿、黄、红发光LED各4个
(9) 44.2kΩ、51kΩ电阻各一个,510Ω电阻10个,10µF,10nF各电容
时间 南北向 东西向
十字路口交通灯电路资料
编号:课程设计说明书题目:基于STC89C52单片机的十字路口交通灯电路系统设计院(系):信息与通信学院专业:电子信息工程学生姓名:韦金泉学号: 1300220229 指导教师:洪莉2016年11月29日目录摘要 (1)引言 (2)1系统方案 (3)1.1 方案比较与选择 (3)1.1.1 微处理器电路 (3)1.1.2 数字显示电路 (3)1.1.3 稳压电源 (3)1.2 方案描述 (3)2 理论分析计算 (3)2.1 定时器时间 (3)2.2 LED限流电阻 (3)2.3 数码管驱动 (3)3 系统电路设计 (4)3.1 时钟电路模块 (4)3.2 复位电路模块 (4)3.3 主控制系统模块 (4)3.4 交通灯输出控制模块 (5)3.5 时间显示电路模块 (5)4 系统软件设计 (6)4.1 设计思路 (6)4.2 软件流程图 (6)5 结论 (7)谢辞 (8)参考文献 (9)附录 (10)附录1 主要元器件清单 (10)附录2 总原理图 (10)附录3 总PCB图 (10)附录4 原程序 (11)摘要本系统采用STC89C52单片机为微处理器核心,以52单片机的内部定时器0计时,用三极管电路驱动8段数码管,并用按键控制12个LED信号灯的三种模式。
系统由单片机最小系统、数码管显示电路、LED显示电路和按键电路四部分组成。
用12个、共3种颜色的LED模拟交通信号灯每30秒转换一次的情况,并可以通过两个按键控制紧急行车和夜间行车信号灯的情况,通过数码管显示倒计时时间。
该电路具有电路简单,设计方便,显示亮度高,耗电较少,可靠性好等优点。
关键词:STC89C52单片机;数码管;定时器引言随着我国经济的高速发展,私家车、公交车的增加,无疑会给我国交通系统带来沉重的压力,很多大城市都不同程度地受到交通阻塞问题的困扰。
交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。
一个十字路口的交通灯控制系统设计报告
一个十字路口的交通灯控制系统设计报告设计报告
一、设计目的
设计每个方向的交通灯控制系统,以解决车辆拥堵的问题,并尽可能
减少事故的发生。
二、原理和要求
1.交通灯控制系统的目标是调整车辆的流量,从而避免拥堵和事故
的发生。
2.根据路口的布局,设计一个控制系统,使各方向的车辆可以有序
通过路口。
3.控制系统需要包括时间策略、车辆流量控制以及实时变更等组件。
4.控制系统的运行稳定性,准确性,可靠性等特性也是需要考虑的。
三、相关技术
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
对灯光变化的时间策略进行设计。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置数据,进行实时的车辆流量控制。
3.实时变更:采用实时数据采集技术,监视路口的变化,对路口的
灯光策略进行实时变更,以保证路口的安全性和流量的正常状态。
四、系统设计
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
设计灯光变化的时间策略,实现路口灯的有序变化,调控车辆的通行流量。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置信息。
十字路口交通灯控制电路
三、工作原理
1. 秒信号发生器构成 秒信号发生器电路如图 2 所示, 脉冲发生器是由 555 定时器构成的多谐振荡器, 因为控制系统是以 秒作为单位,所以用秒脉冲发生器。555 定时器组成的秒脉冲的周期为:T≈0.7(R1+2*R2)*C,若 T =1s,令 C=10µF,R1=44.2kΩ, R2=51kΩ。
三工作原理秒信号发生器构成秒信号发生器电路如图2所示脉冲发生器是由555定时器构成的多谐振荡器因为控制系统是以秒作为单位所以用秒脉冲发生器
十字路口交通灯控制电路
一、设计要求
东西方向通行(南北方向禁止通行)——东西向绿灯亮 10 秒(南北方向红灯亮 13 秒)后东西向黄 灯亮 3 秒;接着南北方向通行(东西方向禁止通行)——南北向绿灯亮 10 秒(东西方向禁止通行红灯 亮 13 秒)后东西向黄灯亮 3 秒;黄灯亮时,发出警告声响。如图 1 所示。
根据上表,可得到信号灯控制方案逻辑表达式,如下表: 信号灯 绿 南北向 黄(蜂鸣器响) 红 绿 东西向 黄(蜂鸣器响) 红 按照以上关系,利用与门连接电路即可实现信号灯的控制。 控制逻辑表达式 Q1Q2 Q1Q2 Q2 Q1Q2 Q1Q2 Q2
四、元器件选择
1. 元器件参数计算 555 定时器组成的秒脉冲的周期为:T≈0.7(R1+2*R2)*C,若 T=1s,令 C=10µF,R1=44.2kΩ, R2=51kΩ 。发光 LED 正常工作时的电流为 10mA 左右,输出的高电平为 5V ,限流电阻大小为 5V/10mA=500Ω 左右。 2. 所需元器件 (1) 74LS161 1片 (2) 74LS112 1片 (3) 74LS08 1片 (4) 74LS00 1片 (5) 74LS12 1片 (6) 555 定时器 1个 (7) 蜂鸣器 2个 (8) 绿、黄、红发光 LED 各 4 个 (9) 44.2kΩ、51kΩ 电阻各一个,510Ω 电阻 10 个,10µF,10nF 各电容 1 个 (10) 导线若干
交通灯控制电路的设计
注:0表示灯灭,1表示灯亮。
3、扩展功能能够用倒计时计数及显示模块,实现有效状态下交通灯的持续亮的时间,且用数码管显示,方便行人。
二、总体方案设计方案:用时间控制交通灯的状态转换1、原理本方案的主要思想是用时间控制交通灯状态的转换,时间变化是有规律的。
先南北直行红灯亮,而后黄灯亮3秒,再直行绿灯亮27秒,黄灯亮3秒;然后南北转弯绿灯亮,黄灯亮,南北交通灯都亮红灯;东西交通灯以同样规律变化。
(1)每次绿灯变红灯时,要求黄灯先亮3秒,黄灯亮时,绿灯灭。
(2)要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)时均有倒计时显示。
所以基本符合现实功能,能够指挥车辆在十字路口完成左转和不同路口的直行。
2、基本功能、扩展功能分析考虑交通灯的功能,一个十字路口至少需8组交通灯:东西南北各两组,一组指挥转弯,一组指挥直行。
而设计的关键是控制交通灯的亮灭。
考虑南北、东西方向灯的亮灭规律相同,故可以考虑用四组交通灯来模拟实际的八组交通灯:东西两组灯,南北两组灯,分别用来指示转弯和直行。
可用计数器控制时间,在不同的时间显示不同的灯。
根据设计分析,可以采用如下方案实现交通灯显示:通过计数来计时,不同的时间输出不同的使能信号,使各方向的不同交通灯显示不同的颜色。
夜间车少需交通灯,则红灯、绿灯灭,黄灯闪烁使司机明白前方为十字路口,小心行驶。
倒计时显示需设计不同的倒计时计数器,显示不同方向交通灯的显示时间,通过数码管显示时间,使行人方便。
3、总体方案(1)结构图(2)主要模块交通灯设计主要分以下几个模块:时钟分频模块,交通灯亮灭控制模块,交通灯显示模块,倒计时计数模块,倒计时显示模块。
①时钟分频模块可以将10MHz的信号,用一个二进制计数器,对其进行分频,从而得到适合的频率。
选一个合适的作为时间计数器的扫描信号,另外再选一个作为数码管选通电路的触发信号。
本方案是用一个24位的计数器,倒计时计数的周期比较慢,而数码管比较快所以可以将分得的23位和10位分别给两者作为扫描信号。
十字路口交通信号灯PLC控制系统设计与调试
十字路口交通信号灯PLC控制系统设计与调试1. 引言随着城市交通的不断发展,道路交通系统的安全与效率已经成为城市交通不可避免的发展趋势。
十字路口交通信号灯的控制是道路交通系统的重要组成部分之一,而PLC控制系统作为现代控制系统的代表,在十字路口交通信号灯的控制中也扮演了重要的角色。
本文将介绍十字路口交通信号灯PLC控制系统的设计与调试。
2. PLC控制系统的原理PLC(可编程逻辑控制器)指的是一种基于工业电子技术和计算机技术的数字化集成控制系统,广泛应用于工业领域的自动化控制。
PLC控制系统是由硬件和软件两部分组成的,硬件是指PLC主机及其周边设备组成的控制系统,软件是指编程软件和程序员编写程序所需的编程语言。
PLC控制系统可以通过输入输出口完成控制任务,并且可以根据事先编写好的程序自动执行相关控制动作。
使用PLC控制系统的优点是可靠性高、稳定性强、控制精度高等等。
3. 十字路口交通信号灯PLC控制系统的设计与实现在十字路口,交通信号灯的控制是道路交通系统中最基本的控制之一。
十字路口交通信号灯PLC控制系统的组成主要包括PLC主机、输入输出模块、中央处理器、交通信号灯设备等。
交通信号灯设备包括红、绿、黄三种信号灯和各个方向的车辆检测器、人行道检测器等。
在设计PLC控制系统时,需要根据实际情况进行具体的设计。
在这里,设计的主要目标是实现十字路口各种状态下的交通信号灯控制。
根据常见的十字路口交通信号灯的控制策略,PLC控制器需要设计并实现以下几种控制模式:•车辆检测模式:此时PLC控制器需要检测当车辆经过检测区域时,根据信号灯的状态确定交通灯的控制策略,如当某路口不存在其他车辆时,直行或左转的车辆可以获得通行权。
•时间控制模式:此时PLC控制器需要根据预设时间表,控制交通信号灯的切换,以达到交通的稳定有效。
•手工控制模式:此时PLC控制器需要实现手动控制交通信号灯的状态切换。
实现上述功能需要进行详细设计。
十字路口交通灯控制器的设计(20页)
较易交通灯控制器的实现
• OO • O O
o 妓0,
o 支干軋
j*r*.
ooe e
OQ*
•0
o
主千軋
廉打亮+
0 O«
o • OO O
e
輔b
O O
支
O
買灯冕
ooq
扶
•
王干i
0
0 霣汀見*
OOO
为/让大家更易理解,我 们 先来看看十字路口简单 控 制器显示。右图为两交 通 灯的运行状态,东西、 南 北方向的不同状态组合
显示模块的VHOL程序,和黄 灯闪烁。
复杂十字路口交通灯控制器要比简单交通灯控制 器增加 一些功能,如倒计时时间显示,左转弯
(左拐)、指示灯闪烁及特殊紧急情况的处理等。 下面 以下面的十字路口交通灯系统为例,来说明 上述功能的 实现:
交通灯运行图表情况
东西方向 南北方向
S(0) S(l) S(2) S(3) S(4) S(5
LVTTL LVTTL
p roc e ss (clk,i:e
ieee.std_logic_unsigned.all;
set) begin
use woik.bintoBCD.all;
it ueset二'I' then
cnt<=(others=>'0);
entity jtd2 is
elsit clk'event and clk=’r
緑 s 黄左 黄 红 黄 灯 灯拐 灯 灯 灯 4 I灯 1 1 1
5 51 5 4 5 S5 s 0 s 5
(
s \) s (9 \17
z
)o
6 s( s( s
十字路口交通灯PLC控制
开始下一个循环 的定时
定时到,输出ON ,随即自复位且 开始下一个循环的定
时
返回
I/O分配表
根据控制要求,PLC的I/O地址分配如表7-2所示。
输入
输出
控制 南北 南北 南北 东西 东西 东西
开
绿
黄
红
绿
黄
红
关
灯
灯
灯
灯
灯
灯
00000 01000 01001 01002 01003 01004 01005
这是一个典型的时序控制系统,因此可以用时序设计 法的编程思路。
返回
试验设备
PLC实验台、电脑(安装CX-P软件
返回
实验内容
⒈控制要求 ⒉I/O分配 ⒊实际接线图 ⒋梯形图程序设计 ⒌时序图设计法
返回
控制要求
分析PLC的输入和输出信 号。为满足控制要求,应尽量 减少占用PLC的I/O点。由控制 要求可见,由控制开关的启、 停信号是输入信号,由PLC的 输出信号控制各指示灯的亮、 灭。在图7-23中,南北方向的 三色灯共6盏,同颜色的灯同 一时间亮、灭,所以可将同色 灯进行并联,用同一输出信号 控制;东西方向的山色灯也照 此办理。
同时,01003 为ON使东西绿灯、南北红灯亮。 ⑸当TIM002定时时间到时,01003为OFF,使东西绿灯灭;01004为ON
使东西黄灯闪烁,南北红灯也闪烁。 ⑹TIM003记录一个循环的时间。当TIM003定时时间到时,01004为
OFF使东西黄灯、南北红灯灭;同时TIM000~TIM003全部复位,并开 始下一个循环过程。由于TIM000为OFF,所以南北绿灯亮、东西红灯 也亮。并重复上述过程。
则程序不执行。 ⑵程序启动后,4个定时器同时开始定时,且01000为ON,使南北绿灯
十字路口交通灯控制电路图
设置堆栈指针
判断状态8 是否结束? R5=100?
R5≤20? 设置STC工作模式
N 南北倒计时时 间设置为70s 42H←70 Y 将东西倒计时 时间设置为30 40H←30 判断状态4 是否结束? R5=50H? Y 判断状态2 是否结束? R5=20? N N
Y
显示缓冲区 装载状态2数据
R5≤47?
T0中断服务程 序
保护现场
关T0中断,开其他中断 循环扫描显示 子程序 T0中断次数计数值 R5←R5+1 主程序 黄灯是否全亮
Y
闪烁次数寄 存R6=R6+1 R5≤17? Y
显示缓冲区 装载状态1数据
设置各中断服务 程序的入口地址
N 闪烁次数小于25 吗?R6≤25? N
R5≤17?
N N
Y
显示缓冲区 装载状态1数据
N N 判断状态6 是否结束? R5=70?
Y
显示缓冲区 装载状态3数据
Y
设置显示缓冲区 并初始化
Y 将东西倒计时 时间设置为70 40H←70
R5≤50?
N
Y
显示缓冲区 装载状态4数据
定时器0、定时器1 初始化设置
Y
R5≤67?
N
Y
显示缓冲区 装载状态5数据
开中断
送段码显示,延时1ms
送倒计时初值和指示段 送显示,延时1ms
青岛大学毕业设计流程图 机电工程学院 测控2班 李虹 学号:201040504045
倒计时数据转换成BCD码 方向指示值转换成驱动段
恢复现场,开T0中断
保护现场
将南北倒计时 时间设置为30 42H←30
R5≤70?
N
Y
显示缓冲区 装载状态6数据
十字路口交通灯模拟红绿灯控制电路课程设计
目录摘要 (3)第一章设计任务与要求 (4)第二章基本方案 (4)2. 1方案一 (4)2. 2方案二 (4)2. 3方案三 (4)第三章方案选择 (4)第四章电路原理图 (4)4. 1计数模块电路 (4)4. 2 红灯闪烁电路 (5)4. 3数码管显示电路 (5)4. 4 194模块 (8)4. 5主体电路图 (10)4. 6元器件清单 (10)第五章电路调试 (11)5. 1 Protues软件仿真 (11)5. 2硬件电路调试 (11)5.2.1 测试电路 (12)5.2.2硬件测试出现问题及解决方案 (14)第六章电路测试后总结 (15)6. 1设计小结 (15)6. 2设计缺点 (15)6. 3系统性能分析 (15)参考文献 (15)摘要中文摘要:在城市交通道路中,由两条道路的汇合点所形成的十字交叉路口很多。
为了确保交通安全及车辆的迅速、有效的通行,在交叉路口的每个入口处需要设置红、绿、黄三色信号灯。
对于机动车来说,红灯亮时,禁止通行;绿灯亮时,则允许通行;黄灯亮时,则提示司机将行使中的车辆减速并准备停下来。
十字路口交通灯的控制逻辑采用数字电路很容易实现。
根据交通灯控制的点功能不同,有简单的红、黄、绿三色交通灯控制电路。
有带时间显示的控制电路;有主干道与支干道通行时间不同的控制电路;有带时间转换功能的交通灯控制电路;有手动控制电路。
一般交通灯控制电路主要由定时器、控制器、译码和显示几个部分组成。
关键词:交通灯定时器控制器English abstract:Cross intersection formed by the confluence of two roads point a lot of urban traffic road. Each entrance of the intersection, in order to ensure traffic safety and vehicle rapid, effective access need to set the red, green, and yellow signal lights. For motor vehicles, for the red light, closed to traffic; When the green light is allowed access; yellow light, prompting the driver will exercise in the vehicle to slow down and prepare to stop.Crossroads traffic light control logic digital circuit is very easy to implement. Depending on the traffic light control point function, a simple red, yellow and green traffic lights control circuit. With time display control circuit; access main roads and branch roads at different times of the control circuit; traffic light control circuit with time conversion functions; manual control circuit. General traffic light control circuit by timer, controller, decode and display several partsThe adjustable power products can be widely applied in defense, scientific research, colleges and universities, laboratories, industrial and mining enterprises, electrolysis, electroplating, charging equipment, etc. Keywords: Traffic light timer controller一、设计任务与要求1.按照红-绿-黄顺序轮流点亮2.东西向绿灯与南北向红灯不同时亮,南北向绿灯与东西向红灯不同时亮3.东西向黄灯点亮时南北向红灯闪烁,南北向黄灯点亮时东西向红灯闪烁4.当绿灯亮时数显开始倒计时二、基本方案2.1方案一用晶振产生频率,cd4060和741s74产生1HZ时钟频率,用移位寄存器74ls164来控制。
十字路口的红绿黄三色信号交通灯控制电路设计书
十字路口的红绿黄三色信号交通灯控制电路设计书1任务设计书1.1设计任务目的及要求1. 1. 1.设计目的设计一个十字路口的红、绿、黄三色信号交通灯控制电路。
1. 1. 2设计要求(1) 用红、绿、黄三色发光二极管作信号灯。
主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。
红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。
(2) 由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。
当主干道允许通行亮绿灯时,支干道亮红灯。
而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。
主干道每次放行60秒,支干道每次放行0秒。
在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。
(3) 能实现总体清零功能。
按下清零键后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。
1.2 设计方案及工作原理1.2.1设计方案:方案:用5G555定时器来构成秒信号产生器,J-K触发器74LS112作状态控制器,74168用于提供置数60秒、40秒、5秒,而74245芯片的选通信号由状态控制器的不同控制信号来进行控制。
主干道绿灯持续1分钟,支干道绿灯持续40秒,黄灯持续5秒。
定时译码显示系统必须有一个能自动按不同定时时间来定时的定时器,以便完成这3种不同的持续定时时间。
为此,用两片74LS168级联构成2位2位十进制可预置减法计数器,时间状态由两片74LS48和两只74LS48和两只LED数码管对减法计数器进行译码显示。
预置到减法计数器的定时器的常数通过3片8路双向三态门74LS245来完成。
1.2.2秒脉冲产生电路:产生秒脉冲的电路有多种形式。
图中是用5G555定时器构成的占空比Q=2/3的多谐振荡器。
根据占空比表达式可知:Q=(R1+R2)/(R1+2R2)=2/3得到R1=R2,又由振荡周期表达式可知:T=(R1+2R2)Cln2=1取C=10uF,可得:3R1Cln2=1因此 R1=R2=48k所以选用两只47k与一只1k 电位器串联就得到如图(1-1)所示电路:图1-11.2.3主控制器模块主控制原理如图(1-2)所示东西方向显示器南北方向显示器图(1-2)r(1) 工作状态模块根据设计要求,可以得到十字路口交通灯顺序工作的进程图,依次为S0、S1、S2、S3,可用JK触发器74LS112改成四进制计数器作为主控制器。
十字路口交通信号灯PLC控制系统设计
电气控制及PLC课程设计一、系统要求与思路1.1 设计内容十字路口交通信号灯PLC控制系统设计。
1.2 设计要求1、系统工作受开关控制,起动开关ON 则系统工作;起动开关OFF 则系统停止工作;2、控制对象有八个:东西方向红灯两个,南北方向红灯两个东西方向黄灯两个,南北方向黄灯两个东西方向绿灯两个,南北方向绿灯两个东西方向左转绿灯灯两个,南北方向左转绿灯灯两个。
3、控制规律:1)高峰时段按时序二运行,正常时段按时序三运行,晚上时段按提示警告方式运行,规律为:东、南、西、北四个黄灯全部闪亮,其余灯全部熄灭,黄灯闪亮按亮0.4 秒,暗0.6 秒的规律反复循环。
2)高峰时段、正常时段及晚上时段的时序分配按时序图一运行。
1.3 设计思路本系统采用主程序调用子程序的设计方案,通过主程序计算比较当前时间,进而根据对时间段的判断和分析来调用子程序段。
子程序段分别是正常时间段、高峰时间段和晚间时间段,它们分别和各自的时序图相对应,从而控制交通灯的信号。
具体程序详见附录程序。
二、系统总体方案分析与设计2.1 控制对象本系统的控制对象有八个,分别是:东西方向红灯(R—EW)两个;南北方向红灯(R—SN) 两个;东西方向黄灯(Y—EW)两个;南北方向黄灯(Y—SN) 两个;东西方向绿灯(G—EW)两个;南北方向绿灯(G—SN) 两个;东西方向左转弯绿灯(L—EW)两个;南北方向左转弯绿灯(L—SN)两个。
2.2 控制要求1、系统工作受按钮控制,起动按钮ON 则系统工作;起动按钮OFF 则系统停止工作;2、交通信号灯按高峰时段、正常时段及晚上时段进行控制,这三个时段的的时序分配如时序图1所示;3、在高峰时段,交通信号灯按时序图2所示时序控制;4、在正常时段,交通信号灯按时序图3 所示时序控制;5、晚上时段按提示警告方式运行,规律为:东、南、西、北四个黄灯全部闪亮,其余灯全部熄灭,黄灯闪亮按亮0.4 秒,暗0.6 秒的规律反复循环。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
十字路口的交通灯控制电路设计摘要本论文主要阐述了交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。
俗话说“要想富,先修路”,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。
作为交通控制的重要组成部份的交通信号灯也应国际化。
随着社会经济的发展,城市交通问题越来越引起人们的关注。
人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。
城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。
随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。
然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。
而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。
所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。
以下就交通灯控制系统的电路原理、设计和实验调试等问题来进行具体分析讨论。
关键词:交通灯自动控制定时准确报警高速目录一、对交通信号灯的控制 (3)1.1题目要求 (3)二、交通灯的组成 (4)2.1交通灯控制系统的原理框图 (4)2.2交通灯运行状态 (4)2.2.1一般十字路口的交通灯控制系统的工作过程.. 5 三、单元电路的设计 (8)3.1秒脉冲发生器 (8)3.2定时器 (9)3.3控制器 (11)3.4译码器 (13)3.5交通信号灯 (15)3.6整个交通灯控制系统的布局 (15)四、PCB的制作 (15)4.1原理图的绘制 (16)4.2 PCB的排线 (16)五、焊接技术 (16)5.1导线的焊接 (16)5.1.1焊接操作姿势与卫生 (17)5.1.2焊接的基本操作 (17)5.2印制电路板的焊接 (20)5.3 焊后处理 (21)六、仿真过程与效果分析 (21)6.1电路试调 (21)6.1.1调试前的检查 (22)6.2调试中注意的事项 (23)6.3通电后的测试 (24)6.4操作说明 (24)七、元器件清单 (25)八、结束语 (26)九、谢词 (27)十、参考文献 (27)一、对交通信号灯的控制1.1题目要求1.信号灯收一个启动开关控制,当启动开关接通时,信号系统开始工作,且先南北红灯亮,东西绿灯亮。
当启动开关断开时,所有信号灯都熄灭。
2.南北绿灯和东西绿灯不能同时亮,如果同时亮时应该关闭信号灯系统,并报警。
3.南北红灯亮维持25s,在南北红灯亮的同时东西绿灯也亮并维持20s,到20s时东西绿灯闪烁,闪烁3s后熄灭。
在东西绿灯熄时,东西黄灯亮,并维持2s,到2s时,东西黄灯灭,东西红灯亮,同时南北红灯熄灭,南北绿灯亮。
4.东西红灯亮维持30s,南北绿灯维持25s,然后闪烁3s熄灭,同时南北黄灯亮,维持2s后熄灭。
这时南北红灯亮,东西绿灯亮。
5.周而复始。
二、交通灯的组成2.1交通灯控制系统的原理框图如图2、1所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图中:TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。
定时时间到,TL=1,否则,TL=0。
TY:表示黄灯亮的时间间隔为5秒。
定时时间到,TY=1,否则,TY=0。
ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。
由它控制定时器开始下个工作状态的定时。
图2、1 交通灯控制系统的原理框图2.2交通灯运行状态两方向车道的交通灯的运行状态共有4种(因人行道的交通灯和车道的交通灯是同步的,所以不考虑),如图2、2所示图2、2两方向车道的交通灯的运行状态2.2.1一般十字路口的交通灯控制系统的工作过程(1)如图2、2状态0所示,甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。
(2)如图2、2状态1所示,甲车道黄灯亮,乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。
黄灯亮足规定时间间隔TY时,控制器发出状态转换信号ST,转到下一工作状态。
(3)如图2、2状态2所示,甲车道红灯亮,乙车道绿灯亮。
表示甲车道禁止通行,乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。
(4)如图2、2状态3所示,甲车道红灯亮,乙车道黄灯亮。
表示甲车道禁止通行,乙车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。
黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到工作状态1。
交通灯以上4种工作状态的转换由控制器进行控制。
设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表2.2.1所示。
表2.2.1 控制器工作状态及其功能控制器应送出甲、乙车道红、黄、绿灯的控制信号。
为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:AG=1:甲车道绿灯亮;BG=1:乙车道绿灯亮;AY=1:甲车道黄灯亮;BY=1:乙车道黄灯亮;AR=1:甲车道红灯亮;BR=1:乙车道红灯亮;由此得到交通灯的ASM图,如图2、3所示。
设控制器的初始状态为S0(用状态框表示S0),当S0的持续时间小于25秒时,TL=0(用判断框表示TL),控制器保持S0不变。
只有当S0的持续时间等于25秒时,TL=1,控制器发出状态转换信号ST(用条件输出框表示ST),并转换到下一个工作状态。
依此类推可以弄懂ASM 图所表达的含义。
图2、3 交通灯控制器的ASM三、单元电路的设计3.1秒脉冲发生器脉冲信号发生器用的是555定时器构成多谐震荡器,震荡频率为:f=1.43/(R1+2R2)C电路图如图3、1所示:图3、1 秒脉冲产生器3.2定时器定时器由与系统秒脉冲(由上面时钟脉冲产生器提供)同步的计数器构成,要求计数器在状态信号ST作用下,首先清零,然后在时钟脉冲上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模25的定时信号TL。
计数器选用集成电路74LS163进行设计较简便。
74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。
74LS163的外引线排列图和时序波形图如图3、2和图3、3所示,其功能表如表3、1所示。
图中,是低电平有效的同步清零输入端,是低电平有效才同步并行置数控制端,CTp、CTT是计图12、2 交通灯的ASM图数控制端,CO是进位输出端,D0~D3是并行数据输入端,Q0~Q 3是数据输出端。
由两片74LS163级联组成的定时器电路如图3、4所示。
图3、2 74LS163的外引线排列图图3、3 74LS163时序波形图表3、1 74LS163功能表图3、4 定时器电路图3.3控制器控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。
从ASM图可以列出控制器的状态转换表,如表3、2所示。
选用两个D触发器FF1、FFO做为时序寄存器产生4种状态,控制器状态转换的条件为TL和TY,当控制器处于Q1n+1Q0n+1=00状态时,如果TL=0,则控制器保持在00状态;如果,则控制器转换到Q1n+1Q0n+1=01状态。
这两种情况与条件TY无关,所以用无关项"X"表示。
其余情况依次类推,同时表中还列出了状态转换信号ST。
表3、2 控制器状态转换表根据表3、2可以推出状态方程和转换信号方程,其方法是:将Q1n+1、Q0n+1和ST为1的项所对应的输人或状态转换条件变量相与,其中"1"用原变量表示,"0"用反变量表示,然后将各与项相或,即可得到下面的方程:根据以上方程,选用数据选择器74LS153来实现每个D触发器的输入函数,将触发器的现态值(Q1n、Q0n)加到74LS153的数据选择输入端作为控制信号.即可实现控制器的功能。
控制器的逻辑图如图3、5所示。
图中R、C构成上电复位电路。
图3、5控制器逻辑图3.4译码器译码器的主要任务是将控制器的输出Q1、Q0的4种工作状态,翻译成甲、乙车道上6个信号灯的工作状态。
控制器的状态编码与信号灯控制信号之间的关系如表3、3所示。
表3、3控制器状态编码与信号灯关系表状态AG AYARBG BY BR00 1 0 0 0 0 101 0 1 0 0 0 1 10 0 0 1 1 0 0由秒脉冲发生器产生了周期性变化的CP脉冲,一部分送给了定时器的74LS163芯片,另一部分送给了控制器的74LS74芯片。
在脉冲ST同时加到定时器74LS163芯片的情况下,通过芯片74LS00将会输出TY、T/Y/;TL、T/L/、即TY和T/Y/放大的结果是秒脉冲的5倍;TL和T/L/放大的结果是秒脉冲的25倍。
前者输出的信号是后者的1/5。
将定时器输出的TY、T/Y/;TL、T/L/分别作用于控制器的芯片74LS153中,在CP脉冲置于芯片74LS74中会输出高低变化的电平。
控制器中的信号在送给由芯片74LS00组成的译码器后再通过电路中的指示灯和200欧的电阻从而得到交通灯的逻辑电路,这种电路的结果最终通过小灯的正常闪烁来实现。
图3、6 译码器部分的逻辑图3.5交通信号灯六个发光二极管(注意二极管的极性)3.6整个交通灯控制系统的布局见附件四、PCB的制作4.1原理图的绘制把副表的原理图在Protel2004中绘制出来。
4.2 PCB的排线五、焊接技术5.1导线的焊接导线焊接在电子产品装配中占有重要位置。
实践中发现,出现故障的电子产品中,导线焊点的失效率高于印制电路板,有必要对导线的焊接工艺给予特别的重视。
5.1.1焊接操作姿势与卫生焊剂挥发出的化学物质对人体有害的,如果操作时鼻子距烙铁头太近,则很容易将有害气体吸入。
一般烙头距鼻子至少不少于30cm,通常400cm以上为宜。
电烙铁拿法有几种,一般在操作台上焊接电路板时多采用握笔法。