EDA个人设计报告

合集下载

EDA综合实验设计报告

EDA综合实验设计报告

Beijing Jiaotong University EDA实验设计报告指导老师:刘彪学院:电子信息工程学院姓名:黄家维学号:13213012班级:电子1301实验地点:电气楼406实验日期:2014年5月29日星期四实验一熟悉QuartusII软件的安装及使用(4学时)我们通过下载及安装,可在电脑上运行Altera Quartus II。

打开软件,新建一个工程,在工程底下新建一个VHDL File,即可实现编程功能。

编完程序后点击Start Compilation可试运行程序,待编写的程序运行无误后,再在此工程下新建一个Vector Waveform File,给程序中的输入变量赋值,保存后点击Start Simulation即可实现程序的仿真。

实验二、组合逻辑电路的设计实验方案设计、实验方法、1.实验方案8-3优先编码器的VHDL描述有多种方法,设计过程中可以根据真值表采用case…when语句、with…select语句、if…then结构等多种手段实现,也可以根据真值表分析输入输出间的逻辑关系,根据逻辑关系写出其布尔表达式,根据布尔代数式调用基本逻辑门元件实现8-3优先编码器。

本实验中根据真值表用if-then结构实现8-3优先编码器2.实验方法首先根据前文所述,对照真值表的列出的不同输入逻辑状态,分情况依次输出于输入的对应关系,而后编译综合,由开发系统自行实现电路功能。

实验步骤1.设计输入利用FILE\New菜单输入VHDL源程序,创建源文件2.设计项目的创建1)原文件存储…..2)利用FILE\Project\Set Project…3.设计编译….4.器件选择及管脚分配…..5.设计仿真…..6.时序分析…..7.编程下载(可选)….LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ENCODER ISPORT(D:IN STD_LOGIC_VECTOR(0 TO 7);A:OUT STD_LOGIC_VECTOR(0 TO 2));END ;ARCHITECTURE XIANI OF ENCODER IS BEGINPROCESS(D)BEGINIF (D(7)='0')THEN A<="111";ELSIF (D(6)='0')THEN A<="110";ELSIF (D(5)='0')THEN A<="101";ELSIF (D(4)='0')THEN A<="100";ELSIF (D(3)='0')THEN A<="011";ELSIF (D(2)='0')THEN A<="010";ELSIF (D(1)='0')THEN A<="001";ELSIF (D(0)='0')THEN A<="000";ELSE A<="ZZZ";END IF;END PROCESS;END;1用CASE语句设计一个4-16译码器。

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。

(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。

(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。

(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。

二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。

频率设定CLK1k对应的频率为50MHZ。

2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。

3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。

4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。

三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。

四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。

电子电路(EDA)实习报告范本

电子电路(EDA)实习报告范本

实习(课程设计)报告主题电子电路(EDA)课程设计报告目录1. 应用软件- 2 -2. 题目介绍- 2 -三、实习目的及要求- 2 -4.实习内容- 2 -五、实习经历- 16 -6. 参考文献- 17 -一、应用多重模拟 9.0电子工作台 (EBW),现在称为 Multisim。

2006年推出的Multisim 9.0软件是加拿大Interactive Image Technologies公司在1980年代末和1990年代初推出的用于电子电路仿真的虚拟电子工作平台软件。

它具有以下特点:(1)使用直观的图形界面创建电路,在计算机屏幕上模拟真实的实验室工作台,创建电路所需的电路元件,并从屏幕上选择电路仿真所需的测试,所有这些都在简单的操作中完成。

(2)Multisim 提供的虚拟控制面板在外观和操作上与真实的类似,并且可以显示测量结果。

(3)Multisim 带有丰富的组件库,便于选择。

(4)Multisim具有强大的电路分析能力,提供直流分析、交流分析、瞬态分析、傅里叶分析、传递函数分析等19种分析功能。

作为一种设计工具,它可以与其他电路分析设计和绘图软件进行数据交换。

(5)Multisim 也是一款出色的电子技术培训工具。

使用它提供的虚拟仿真,可以比测试更灵活地进行电路实验,模拟电路的实际运行,熟悉常用电子仪器的测量方法。

二、主题介绍电子设计自动化(EDA)是以计算机为工具,在EDA软件平台上,由原理图、波形图或硬件描述完成的设计文件,自动生成编译、简化、综合优化、布局布线仿真语言作为系统功能描述方法。

目标芯片适配和编程下载工作,从而提高设计效率,缩短开发周期。

EDA技术是现代电子行业不可或缺的技术,掌握EDA技术是电子类大学生就业的基本条件。

三、实习目的和要求1.了解并熟悉使用 Multisim 9.0 软件系统。

2.学习在 Multisim 9.0 中创建电路并运行特定的分析类型和仿真类型。

2024年eda课程设计实习心得体会报告

2024年eda课程设计实习心得体会报告

2024年eda课程设计实习心得体会报告【摘要】本文是对2024年EDA课程设计实习的心得体会报告,从实习前的准备、实习过程中的工作内容和团队合作、实习的收获和反思等方面展开描述和分析,总结了本次实习对提升工程设计能力和团队协作能力的重要性,具体包括实习带来的技术提升和团队合作经验的积累等。

【正文】目录1. 引言2. 实习前的准备3. 实习过程中的工作内容和团队合作4. 实习的收获和反思5. 结束语1. 引言EDA(Electronic Design Automation)课程是计算机科学与技术专业的核心课程之一,涉及到电子设计流程的各个环节。

为加深对EDA课程的理解,提高工程设计能力和团队协作能力,我决定参加2024年的EDA课程设计实习。

本文就我的实习经历进行了总结和分析。

2. 实习前的准备在实习正式开始之前,我进行了一系列的准备工作。

首先,我对EDA课程相关的知识进行了系统的复习。

通过查阅相关教材、课件和论文,我对电子设计流程的各个环节有了更深入的理解。

同时,我也研究了最新的EDA工具和技术,以了解行业的最新动态。

其次,我参加了学校组织的项目管理培训班,学习了项目管理的理论和实践。

这对我在实习过程中进行任务规划和时间管理起到了很大的帮助。

最后,我与我的团队成员进行了深入的讨论和交流,明确了我们的目标和任务分工。

我们确定了实习期间的工作计划,并制定了一个实施方案,包括每个人的具体工作内容和时间节点。

3. 实习过程中的工作内容和团队合作在实习过程中,我主要负责了电子设计流程中的数字电路设计部分。

根据我们的实施方案,我进行了相关的库文件设计、逻辑综合、门级综合和时序优化等工作。

在工作内容的具体实施过程中,我遇到了一些困难和挑战。

例如,在数字电路设计过程中,我遇到了一些时序约束的问题,导致综合结果不理想。

为了解决这个问题,我与团队其他成员积极沟通,寻求他们的帮助和建议。

通过共同努力,我们最终解决了这个问题,并得到了更好的综合结果。

EDA设计报告

EDA设计报告

EDA实验报告多功能数字钟的设计学院院系:物理学与电子技术学院课程名称:EDA技术专业班级:2011级微电子学生姓名:李盛鹏指导教师:田茂设计时间:2013年12月17日一:有关介绍数字钟是一种用数字电路技术实现时、分、秒计时的装置。

在这次设计中,我们采用LED数码管显示时、分、秒,以24小时计时方式,根据数码管动态显示原理来进行显示,数字钟可以手动调整时间,整点报时,以及附加秒表功能。

数字钟的设计方法主要是基于Quartus II软件进行逻辑电路的设计并借助于可编程逻辑器件进行硬件实现,最终本设计实现24小时的时钟计时、整点报时功能,时间重置功能以及秒表功能。

二:实验目的1.了解数字钟的工作原理;2.熟悉用VHDL语言编写驱动七段数码管显示的代码;3.进一步熟悉Quartus II软件;4.秒/分/时/小数点的通过数码管依次显示并正确计数;5.实现整点报时,扬声器发出报时声音;6.时间设置,即手动调时功能:当认为时钟不准确时,可以分别对分/时进行调整;7.秒表功能,即可手动控制秒表的复位、暂停以及开始;三:实验原理多功能数字钟应该具有的功能有:显示时-分-秒、整点报时、小时和分钟可调等基本功能。

首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz 信号的作用下进行,这样每来一个时钟信号,秒增加 1 秒,当秒从59 秒跳转到00 秒时,分钟增加1 分,同时当分钟从59 分跳转到00 分时,小时增加1 小时,但是需要注意的是,小时的范围是从0~23时。

整个秒表的工作应该是在100hz信号的作用的进行,这样每来一个时钟信号,10毫秒位增加 1 秒,当10毫秒位从99 秒跳转到00 秒时,秒增加 1 秒,同时当秒从59 分跳转到00 分时,分钟增加 1 分钟,但是需要注意的是,分钟的范围是从0~59分。

在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD 码)显示个位,对于小时因为它的范围是从0~23 ,所以可以用一个2 位的二进制码显示十位,用4 位二进制码(BCD 码)显示个位。

EDA实习设计报告

EDA实习设计报告
位清零操作电子钟从000000计时开始。md2[1]可以调整时钟的小时部分
md2[2]可以调整分钟,步进为1。
2由于电子钟的最小计时单位是1s因此提供给系统的内部的时钟频率应
该大于1Hz这里取100Hz。CLK端连接外部10Hz的时钟输入信号CLK。对CLK
进行计数当CLK=10时秒加1当秒加到60时分加1当分加到60时时
模式和计时模式是分开互不影响的。然后再通过对md2[1]和md2[2]对时钟进行
时间设定。等到计时到达设置时间时实现打铃功能。 - 3 -
3.1六十进制计数器模块? 3.2二十四进制计数器模块? 4、实验步骤 4.1工程建立及存盘?打开 QuartusⅡ单击“File”菜单选择 File→New对话框如下选择
1、实验目的 .......................................... - 2 -
2、任务和设计要求 .................................... - 2 -
3、系统设计方案概述及工作原理 ........................ - 2 -
5、实验小结 ......................................... - 1Байду номын сангаас - - 2 -
1、实验目的 1、掌握VHDL语言的基本运用
2、掌握QuartusII的简单操作并会使用EDA实验箱
3、熟悉集成电路的引脚安排
4、掌握各芯片的逻辑功能及使用方法
3) 具有整点报时功能
4) 具有定时功能。 3、系统设计方案概述及工作原理 根据系统设计要求,系统设计采用自顶向下设计方法由时钟分频部分、六

EDA课程设计报告

EDA课程设计报告

EDA课程设计报告•相关推荐EDA课程设计报告在我们平凡的日常里,报告的使用成为日常生活的常态,报告中涉及到专业性术语要解释清楚。

那么报告应该怎么写才合适呢?以下是小编为大家整理的EDA课程设计报告,希望对大家有所帮助。

EDA课程设计报告1实训任务:一、实训目的和要求:(1)熟练掌握keil c51集成开发环境的使用方法(2)熟悉keil c51集成开发环境调试功能的使用和dp?51pro。

net单片机仿真器、编程器、实验仪三合一综合开发平台的使用。

(3)利用单片机的p1口作io口,学会利用p1口作为输入和输出口。

(4)了解掌握单片机芯片的烧写方法与步骤。

(5)学会用单片机汇编语言编写程序,熟悉掌握常用指令的功能运用。

(6)掌握利用protel 99 se绘制电路原理图及pcb图。

(7)了解pcb板的制作腐蚀过程。

二、实训器材:pc机(一台)pcb板(一块)520ω电阻(八只)10k电阻(一只)led发光二极管(八只)25v 10μf电容(一只)单片机ic座(一块)at89c51单片机芯片(一块)热转印机(一台)dp?51pro。

net单片机仿真器、编程器、实验仪三合一综合开发平台(一台)三、实训步骤:(2)将流水灯程序编写完整并使用tkstudy ice调试运行。

(4)打开电源,将编写好的程序运用tkstudy ice进行全速运行,看能否实现任务要求。

(6)制板。

首先利用protel 99 se画好原理图,根据原理图绘制pcb图,然后将绘制好的pcb布线图打印出来,经热转印机转印,将整个布线图印至pcb板上,最后将印有布线图的pcb板投入装有三氯化铁溶液的容器内进行腐蚀,待pcb板上布线图外的铜全部后,将其取出,清洗干净。

(7)焊接。

将所给元器件根据原理图一一焊至pcb板相应位置。

(8)调试。

先把at89c51芯片插入ic座,再将+5v电源加到制作好的功能板电源接口上,观察功能演示的整个过程(看能否实现任务功能)。

EDA作品设计报告word

EDA作品设计报告word

七段共阳型数码管译码表:各模块流程图➢ Led 七段共阳型数码管显示模块:1) 流程图:存储器计时程序动态扫描译码程序 主控程序按键消抖处理程序 按键扫描程序分频电路程序CLK50M 输入八段数码管always@ (posedge clk)counter<=counter+1'b1;always@ (posedge clk_use)keyout<=keyin;endmodule3)分析此功能程序包含两个输入变量clk、keyin,一个输出变量keyout,clk供给程序时钟脉冲,keyin提供程序按键的操作实现的功能(实现复位或者暂停),输出变量keyout实现对提供的输入信号keyin的处理。

本程序较为简单,主要是用来实现按键的消抖:即由counter和clk_use构成的延时实现消抖,当输入信号clk上升沿(即时钟信号上升沿到来时),counter自加一,若counter的最高位发生变化时,即发生从0到1的跳变,clk_use会产生一个上升沿的变化,通过时间的延迟从而实现消抖,消抖时间为128*输入时间脉冲clk的时间。

可通过程序仿真观察此模块实现的功能:当keyin为复位时,即clr=1,led4=led5=led6=led7=1000000(数码管最低位即小数点位没用到)故只看前7位,查看led对应的显示译码可知道,当led=1000000时,数码管显示为0当keyin为暂停时,即pause=1,可看到led4、led5、led6、led7保持状态不变➢主程序模块:1)流程图:end endled leds0(s0,led4);led leds1(s1,led5);led ledmiu0(minu0,led6);led ledmiu1(minu1,led7);endmodule3)分析:本模块多次采用判断语句,来判断当前程序所处于的状态,开始实现分频,使时钟频率达到100hz,即0.01s,但此程序只显示1s,故计时的最小分度为1s。

EDA设计报告

EDA设计报告

乐曲演奏电路设计一、题目分析1、原理分析产生音乐的两个因素是音乐频率和音乐的持续时间,以纯硬件完成演奏电路比利用微处理器来实现乐曲演奏要复杂的多,如果不凭借EDA 工具和硬件描述语言,凭借传统的数字逻辑技术,即使最简单的演奏电路也难以实现。

乐曲硬件演奏电路系统主要有数控分频器和乐曲存储模块组成。

数控分频器对FPGA 的基准频率进行分频,得到与各个音阶对应的频率输出。

乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。

乐曲演奏电路的结构框图如下:2、总体方框图图1.1 乐曲演奏电路结构框图乐曲硬件演奏电路的设计主要就是由四个部分组成的,首先为了实现音乐的演奏必须得得到音阶的频率和节拍,这主要就是由音乐节拍发生器和数控分频模块完成的,完了就是译码查表电路是由音符译码电路模块产生的,乐曲硬件演奏电路可分为四个模块,音乐节拍发时钟电路 查符查表电路 音乐节拍产生电路 数控分频器 基准时钟模式选择生器模块,音符译码电路模块,数控分频模块。

该系统由四个模块组成:分别是NoteTabs模块,ToneTaba模块,Speakera 模块和SEG7 模块(七段译码器)。

3、性能指标及功能(1)能够播放“梁祝”乐曲。

(2)能够通过LED显示音阶。

二、选择方案1、可利用的方案方案一:VHDL语言设计方法由上面的分析可知,本系统由Note Tabs模块、Ton Taba模块、Speakera 模块和SEG7 模块组成。

所以采用自顶向下的设计方法,可以利用VHDL语言设计设计方法。

将各个模块统一用VHDL语言设计。

方案二:VHDL语言和原理图设计方法相结合的设计方法由上面的分析可知,本系统由Note Tabs模块、Ton Taba模块、Speakera 模块、SEG7 模块和一个顶层文件构成。

采用自顶向下的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告实习期间,我参与了EDA课程的设计实习,担任助教的角色。

下面是我对这段实习经历的心得体会报告。

首先,实习让我更加深入地了解了EDA课程的内容和教学方法。

在参与课程设计的过程中,我不仅与教师密切合作,还与同学们进行了充分的沟通和交流。

通过参与课程的筹备工作,我更加了解了教学的各个环节和细节,从而更好地理解了教学的目标和方法。

同时,与同学们交流互动的过程也让我对他们的学习情况和需求有了更深刻的认识,这对于我后续的教学工作有很大的帮助。

其次,实习过程中我锻炼了一些重要的教学技能和能力。

作为助教,我需要为教师提供协助,并且参与到课堂教学中。

通过观察和参与教学活动,我学习到了一些教学技巧和策略。

比如,在课堂上我要注意与学生建立联系,引导他们积极参与课堂讨论,促进他们的思考和表达。

我还学会了如何给学生提供有效的反馈和指导,帮助他们解决问题并提升学习效果。

这些技能对于一个教师来说是非常重要的,这次实习给我提供了一个实践的机会,让我能够在教学中实际运用这些技能。

此外,实习让我认识到教学工作需要具备一定的耐心和责任心。

教学是一个与人打交道的过程,每个学生都有自己的特点和需求,不同的学生可能需要不同的教学方法。

作为助教,我需要耐心地倾听和理解每个学生的问题,根据他们的需求给予相应的帮助和指导。

同时,我还要对自己的工作负责,确保教学工作的顺利进行,为学生提供高质量的教学服务。

总的来说,这段实习经历让我在教学方面获得了很多收获。

通过与教师和学生的互动,我深入了解了教学的实际操作和教学技巧。

同时,实习还让我认识到了教学工作的责任和挑战,对我以后从事教育工作有着重要的影响。

这次实习的经历将成为我以后教学生涯中的宝贵财富,我将会更加努力地提升自己的教学能力,为学生的学习提供更好的支持和帮助。

EDA设计(II)实验报告数字电子钟

EDA设计(II)实验报告数字电子钟

EDA设计(II)实验报告-数字电子钟实验报告:数字电子钟一、实验目的本实验旨在通过使用EDA设计软件,设计并实现一个具有时、分、秒功能的数字电子钟。

通过学习使用EDA工具,掌握数字电路设计的基本步骤和技巧,培养实践能力和创新思维。

二、实验原理数字电子钟是一种以数字形式显示时间的装置,它利用了时、分、秒的计时原理。

核心部分包括一个时钟发生器,用于产生标准时间信号,以及一个计数器,用于对时间进行计数并显示。

此外,还需要一些控制逻辑来控制时、分、秒的进位和显示。

三、实验步骤1.设计准备:在开始设计之前,首先明确设计要求和功能。

考虑到实验的复杂性和可实现性,我们采用最简单的电路结构,即基于计数器和译码器的数字电子钟。

2.绘制电路图:使用EDA设计软件(如Quartus II)绘制电路图。

首先创建新项目,然后添加必要的元件(如74LS192计数器、74LS248译码器等),并根据设计要求连接元件。

3.编写程序:使用硬件描述语言(如VHDL或Verilog)编写计数器和译码器的程序。

确保程序能够实现所需的功能,并进行仿真测试。

4.编译和下载:将程序编译成可下载的配置文件,然后下载到FPGA开发板上。

5.硬件测试:连接开发板到PC,启动程序,观察数字电子钟的显示情况。

检查时间是否准确,各部分功能是否正常。

6.性能评估:对数字电子钟的性能进行评估,包括计时精度、稳定性等指标。

根据评估结果对设计进行优化。

四、实验结果与分析1.设计结果:经过上述步骤,我们成功地设计并实现了一个基于FPGA的数字电子钟。

通过EDA软件和硬件描述语言,我们实现了计数器和译码器的功能,并完成了程序的编写和下载。

2.性能分析:经过测试,我们的数字电子钟具有较高的计时精度和稳定性。

时间显示准确,各部分功能正常。

这表明我们的设计是成功的。

3.优化方向:虽然我们的数字电子钟已经具有较好的性能,但仍有一些方面可以优化。

例如,可以考虑添加更多的功能,如闹钟、温度显示等;也可以进一步优化电路结构,降低成本和提高性能。

EDA数字电路设计实验报告范文

EDA数字电路设计实验报告范文

一、实验目的通过本实验的学习,使学生掌握VHDL 中文件IO、配置、同步和异步设计等知识,训练VHDL 的编程能力,培养数字电路设计的基本技能,为今后继续学习大规模数字系统设计奠定基础。

二、实验内容1、分析示例代码,掌握VDHL 文件IO 的编写方法。

2、分析示例代码,掌握VDHL 配置的使用以及编写方法。

3、按照要求修改文件IO 和配置的示例代码。

4、根据同步和异步设计的不同,按照要求编写代码。

三、实验原理、方法和手段复杂设计,例如CPU,需要给它执行的指令。

以验证其正确性。

执行的结果也可以保存在文件中,供以后分析用。

VHDL 提供了文件读写功能,可以将测试激励预先保存在文件中,然后读入进行仿真。

文件读写的功能保存在IEEE 库的std.textio 和 std_logic_textio 包中,在文件头包含这些库,包,就可以调用文件读写函数。

文件I/O 关键语句:1、使用IEEE 文件读写包:USE ieee.std_logic_textio.all;USE std.textio.all;2、定义文件数据类型file results: text open write_mode is “results.txt";file mem_data: text;3、打开文件file_open(mem_data, "mem_data.txt", read_mode);4、定义行变量variable inline: line;5、读入一行数据到行变量readline(mem_data, inline);6、读行变量数据read(inline, ram_mem_temp);7、写数据到行变量write(OneLine,addr,right,10);8、写行变量到文件中writeline(results,OneLine);9、类型转换To_stdlogicvector(ram_mem_temp);--将ram_mem_temp 转换成std_logic_vector 型变量conv_integer(addr);--将addr 转换成interger 型变量1),常用的数据类型,函数,过程,模块,测试激励可以放在一个包中,以方便重用。

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告eda课程设计实习心得体会报告随着EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度,提供课程设计指导实习,分享心得体会报告。

下面是查字典范文网小编为大家收集整理的eda课程设计实习心得体会报告,欢迎大家阅读。

eda课程设计实习心得体会报告篇1EDA课程设计心得体会,这次EDA课程设计历时两个星期,通过这次设计,通过这次课程设计使我懂得了理论与实际相结合是很重要的,在设计的过程中遇到问题,同时在设计的过程中发现了自己的不足之处,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,PLC实训心得,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,没有过实际开发设计的经验,我们基本学会了PLC设计的步聚和基本方法。

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。

特别是当每一个子模块编写调试成功时,心里特别的开心。

但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于刻,掌握得不够牢固。

在设计过程中,总是遇到这样或那样的问题。

有时发现一个问题的时候,需要做大量的工作,花大量的时间才能解决。

自然而然,我的耐心便在其中建立起来了。

为以后的工作积累了经验,增强了信心。

eda课程设计实习心得体会报告篇2本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。

我们组抢答器的设计要求是:可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,系统具有计分、倒计时和倒计时锁存等电路,输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。

EDA设计2实验报告

EDA设计2实验报告

EDA设计(2)---多功能数字钟设计1 设计要求1.1设计一个基本的计时、计星期的数字时钟1.2能够进行正常的星期、时、分、秒的计时功能;1.3 由七个数码管显示,从左到右分别为时十位、时个位、分十位、分个位、秒十位、秒个位、星期;星期为1、2、3、4、5、6、7来表示周一到周日;1.2.3 计时电路的开关分配(1)k1是计时电路的使能开关(k1=0正常计时,k1=1时钟保持);(2)k2是计时电路的清零/秒表清零/闹钟定时清零复用开关(在不同的模式显示下,k2=0时正常计,k2=1时清零);(3)k3是计时电路的校分/闹钟电路定分复用开关(k3=0正常计时,k3=1进行校分);(4)k4是计时电路的校时/闹钟电路定时复用开关(k4=0正常计时,k4=1进行校时);(5)k5是计时电路的校星期/闹钟电路定星期复用开关(k5=0正常计时,k5=1进行校星期);(6)k7为闹钟开关,k6是基本计时电路、闹钟的显示选择开关{k6=0显示基本计时模式;k6=1显示设定的闹钟时间(由k3、k4、k5分别设定),但闹钟的开关k7=1时闹钟才进入闹钟模式};1.2.4整点报时功能(当时钟计到59分53秒、55秒、57秒时报时频率为500hz,59秒报时频率为1Khz);2 设计原理多功能数字时钟的整体框图如图由脉冲发生电路产生数字钟所需的各种频率。

根据要求设计出基本计时和闹钟,通过模式选择开关进行切换。

其中,基本计时部分设计校分、校时、保持、校星期、报时和清零等电路。

闹钟设计定时。

最后报时、1分钟闹钟电路通过蜂鸣器输出,基本计时、闹钟电路在数码管动态显示。

基本计时电路校分校时 保持校星期报时 清零闹钟电路1分钟延时定时译码显示电路蜂鸣器分频电路 K1~k7消颤开关2.1基本计时电路原理通过分频电路来构成脉冲发生电路,将实验箱48Mhz分成基本计时电路所需的各种脉冲。

基本计时电路由模60、模24和模7计数器组成。

EDA实验设计报告

EDA实验设计报告

EDA实验设计报告一、实验名称基于FPGA的数字时钟二、实验目的初步掌握EDA设计环节,通过设计一个数字时钟,加深FPGA的工作原理和开发流程,加强硬件描述语言V erilog的编程能力,为后续学习和竞赛打好基础。

通过设计扩展部分,进一步提高FPGA的设计能力,同时了解软硬件接口的设计,建立起系统设计的概念。

三、实验内容1、数字时钟(1)基本要求用同步时序电路设计实现时、分、秒计数的数字钟,并在数码管上正确显示。

(2)扩展要求1、可以按键清。

;2、支持年月日显示功能,用按键进行年月日和时分秒间显示切换。

3、可对显示内容进行修改,用按键键值代替显示内容,按键键值可自行定义。

2、秒表设计内容:利用5个数码管完成秒表显示功能。

要求:1、精度达100ms;2、可以清零;3、可暂停;4、最大计时为999.9s。

3、跑马灯设计实现8个发光二极管以不同频率(2Hz,1Hz,0.5Hz)循环进行左滚动、右滚动、向中间滚动、向两边滚动、闪动等效果,不同频率显示用按键进行切换。

4、VGA显示设计1、分辨率为1024*768/60Hz、1024*768/75Hz、800*600/60Hz三种。

2、红绿蓝单色、彩色棋盘格、圆等图形以1Hz的速率切换显示;3、8级灰度呈条状递增显示。

四、实验仪器Altera公司:Cyclone II EP2C20Q240 FPGA 及实验箱USB-BLASTER 下载线Quartus II 11.0 开发环境外围电路五、实验内容1、硬件系统图学校实验箱系统图:自制显示电路图:4段数码管X2 74LS138VGA接口电路数码管电路led灯电路2、软件部分顶层设计文件bdf原理图输出模块组成。

控制模块:控制功能模块的开启和关闭,同时控制系统选择输出输出相应模块的信号。

系统选择输出模块:因为实验箱数码管和led灯共用8个端口,由三片锁存器控制,所以该模块可根据控制模块的信号选择开启相应模块的输出和锁存控制端。

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告

eda课程设计实习心得体会报告android开发工程师岗位职责篇11.负责公司旗下各系统功能开发、维护;2.维护、升级及优化已有程序,编写技术文档;3.独立负责部分功能模块的系统分析、数据库设计、程序开发等;4.配合运营部门进行活动页面需求分析,完成代码编写和测试。

android开发工程师岗位职责篇2职责描述:1)带领小组完成框架断路器的研发或二次开发。

2)有效地组织产品级dfmea和pfmea分析。

3)优化结构,制定方案。

4)完成功能,性能,等技术指标达到要求5)完成设计文件,产品图样与工艺文件编制。

6)给出合理的技术要求、装配要求,检测与测试要求。

任职要求:1)熟悉加工工艺,材料的`应用知识。

2)具有主动性,创造性,分析和解决问题能力等等。

3)熟悉产品标准。

4)在低压电器领域有10项以上的专利,特别是在框架断路器上应有多项的发明专利。

5)能够进行产品级dfmea分析及尺寸链核查。

6)与供应商能有效地沟通7)熟悉框架断路器结构、工作原理,关键设计点及相关的应用知识。

android开发工程师岗位职责篇3职位描述1、负责网关平台、服务治理平台等产品的规划、开发、维护和升级;2、参与网关平台、服务治理平台的部署运维,保障系统可用性、稳定性和可扩展性;3、理解业务系统需求,参与网关平台、服务治理平台架构的持续优化以满足业务快速发展的要求;岗位要求:1、3年以上java系统开发经验;2、熟悉java并发编程和网络编程,熟悉tcp/ip通信协议,熟悉netty编程;3、熟悉和理解分布式系统原理,例如cap、分布式一致性协议、高可用策略、分布式应用编程模型等;4、熟悉网站前后端交互技术和原理,能独立完成前端页面界面开发;5、能长期保持对技术的学习热情;加分项:1、熟悉dubbo、dubbox等底层实现原理,或者具备基于dubbo、dubbox等做平台化封装经验者优先;2、熟悉netty底层实现,对netty有深层次应用经验者优先;3、熟悉tcp、http1/2、websocket等常见网络协议,熟悉它们基于servlet 或者netty实现者优先;4、熟悉网络、负载均衡相关知识与解决方案,如对lvs、haproxy、nginx 等有深入使用经验者优先。

基于eda的实训心得_eda实训报告怎么写(精选17篇)

基于eda的实训心得_eda实训报告怎么写(精选17篇)

基于eda的实训心得_eda实训报告怎么写(精选17篇)基于eda的实训心得_eda实训报告怎么写篇1不到一周的EDA实训就这样结束了,虽然时间有些短暂,学习的有些仓促,但是这次实训我是认真的。

我没有像以往一样单一的照猫画虎,没有等待着参考别人的成果,而是一边画电路图,一边分析原理,遇到不会的,自己先勇于尝试,然后与同学交流。

虽然有很多地方仍然不是很明白,但是自己至少尽心尽力了。

初次使用Multisim软件,加之又是英文版的,会很吃力,我们可能找不准元器件,可能因不懂它的属性而用错,像这样的问题不是没出现过,就在完成实训第一题目时这些错误就出现了。

当时因为用错电阻的属性,导致仿真出的波形与别人不同,然而这个问题在当时困扰了我和同学很久,一直找不出问题出在哪里,后来还好有老师的指导,才找出问题的所在(我们用的是电流型电阻)。

实训内容包括了对电路、模拟电子、数字电路的简单操作,我们通过Multisim软件画出电路图,用虚拟的仪表对电路参数进行测量,用虚拟示波器对电路输入输出波形进行观测,这不仅让我们熟悉使用该软件,同时体验软件仿真在电路分析中的重要作用,利用该软件不仅可以准确测量各参量,还可帮助我们测试电路的性能。

它确实很方便实用。

虽然有了这种强大软件的帮助,但是对于我们这些初学者来说,必须学会自己分析电路原理,来判断测试结果。

电路、模电、数电是一年前学的,或许是因时间长,好多知识点被遗忘了,或许是当时就没将这三门功课学好,对知识点的生疏,导致实训的进行并不是很顺利,有时半天分析不出一个原理图。

实训时间很短,该软件的学习过程还很长,我不希望自己因实训结束而停止对其的认识和学习。

写到这,我想起前几天一位留学回国的姐说过的话:“你现在所学的那些专业软件,你必须深入了解和学习;就学校进行一到两礼拜的学习是远远不够的,自己课后必须加强学习”。

之前的实训机会已经被荒废了,现在的机会自己应该好好珍惜。

大学的美好时光所剩不多,如果觉得自己之前没有尽心尽力,那么接下来的时间自己好好珍惜吧。

南京理工大学eda设计(1)报告(优秀)

南京理工大学eda设计(1)报告(优秀)

EDA设计(I)实验报告院系:专业:班级:学号:姓名:指导老师:实验一 单级放大电路的设计与仿真一.实验目的1. 掌握放大电路静态工作点的调整和测试方法。

2. 观察静态工作点的选择对输出波形的影响。

3. 掌握电路输入电阻、输出电阻的测试方法。

4. 观察电路的频率响应曲线以及掌握电路上、下限频率的测试方法。

二.实验原理当三极管工作在放大区时具有电流放大作用,只有给放大电路中的三极管提供合适的静态工作点才能保证三极管工作在放大区,如果静态工作点不合适,输出波形则会产生非线性失真——饱和失真和截止失真,而不能正常放大。

当静态工作点设置在合适的位置时,即保证三极管在交流信号的整个周期均工作在放大区时,三极管有电流放大特性。

通过适当的外接电路,可实现电压放大。

表征放大电路放大特性的交流参数有电压放大倍数、输入电阻、输出电阻。

由于电路中有电抗组件电容,另外三极管中的PN 结有等效电容存在,因此,对于不同频率的输入交流信号,电路的电压放大倍数不同,电压放大倍数与频率的关系定义为频率特性,频率特性包括:幅频特性——即电压放大倍数的幅度与频率的关系;相频特性——即电压放大倍数的相位与频率的关系。

三.实验要求1)设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(幅度1mV) ,负载电阻5.1k Ω,电压增益大于50。

2)调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。

3)加入信号源频率5kHz(幅度1mV) ,调节电路使输出不失真,测试此时的静态工作点值。

测电路的输入电阻、输出电阻和电压增益; 4)测电路的频率响应曲线和L f 、H f 值。

四.实验内容与步骤1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(幅度1mV) ,负载电阻5.1kΩ,电压增益大于50。

单级放大的设计原理图如下:若把调节电位器的大小,从而使电路具有不同的静态工作点,则从与节点4相连的示波器上可以观察到饱和失真、截止失真、不失真三种不同的现象。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA实验报告设计学院:物信学院
班级:电信一班
学号:112207301115 姓名:徐创
指导老师:漆为民
目录
实验题目 (3)
实验目的和要求 (3)
实验原理 (3)
实验方案设计、实验方法 (4)
实验步骤 (5)
实验代码 (5)
仿真波形 (6)
心得体会 (7)
参考文献 (8)
一.实验题目:
8位左右移移位寄存器
二.实验目的和要求
复习左右移移位寄存器的原理与功能,学习掌握循环移位寄存器的设计实现方法,设计实现数字系统设计中常用的8位循环移位寄存器,逐步学会熟练运用Quartus II软件,熟悉EDA的verilog程序设计方法、学会使用信号升沿、降沿、verilog的敏感量表等内容,掌握时序逻辑电路的verilog描述方法,掌握应用EDA常用工具软件进行时序逻辑电路的设计、分析、综合、仿真等的方法与技巧。

三.实验原理
8位左右移移位寄存器的真值表如下:
CP LD UD 工作状态
x 1 x 置数
0→1 0 0 循环右移
0→1 0 1 循环左移
移位开始,循环左移时,CP上每来一个脉冲升沿,寄存器从输出从低位开始依次左移一位,同时最高位给最低位。

相反,循环右移时,从高位开始依次右移一位,同时最低位给最高位。

管脚
8位左右移移位寄存器的管脚如图:
其中D 表示输入的初始值,Sta 为开始移位信号,DOUT 表示当前数值;LD 表示预设计数值,LD 为‚1‛,初始计数值打入器件;LR 表示移位方向,LR 为‘0’,循环右移位,LR 为‘1’,循环左移位;CP 为移位脉冲。

四.实验方案设计、实验方法
1. 实验方案
8位左右移移位寄存器的描述有多种方法,设计过程中可以采用图形编程,首先设计D 触发器,而后通过D 触发器的互联实现8位左右移移位寄存器,采用计数脉冲CP 作为敏感量,CP 的每个上升沿,输出量Q 的每一位赋给左边一位或右边一位。

同时循环左
D0 D1 D2 D3 D4 D5 D6 D7 CP LD LR
DOUT0 DOUT1 DOUT2 DOUT3 DOUT4 DOUT5 DOUT6 DOUT7
移时,最高位赋给最低位,循环右移时,最低位赋给最高位。

2. 实验方法
首先根据前文所述,对照真值表的列出的不同输入逻辑状态,分情况依次输出于输入的对应关系,而后编译综合,由开发系统自行实现电路功能。

五.实验步骤
1. 设计输入利用FILE\New菜单输入源程序,创
建源文件
2. 设计项目的创建
原文件存储…
3. 设计编译….
4. 器件选择及管脚分配…..
5. 设计仿真…..
6. 时序分析…..
7. 编程下载
六.程序代码:
module Verilog1(clk,ldn,k,d,q);
input clk,ldn,k;
input [7:0] d;
output [7:0] q;
reg[7:0] d_reg,q_reg;
always@(negedge ldn)
if(!ldn)
d_reg <= d;
always@(posedge clk )
begin
if(k)
begin//right
q_reg[7:0] <= {1'b00,d_reg[7:1]};
end
else q_reg[7:0] <= {d_reg[6:0],1'b0};
end
assign q = q_reg;
endmodule
七.仿真波形
8位循环移位寄存器的仿真波形如下图,从波形可以得出,输入输出满足前文真值表,设计电路
功能达到设计要求
上述时间分析可以得到,输出信号存在的时间延迟,它主要与器件速度、表达逻辑的合理性有关,选用速度更高器件、优化设计可以使该值降低
八.心得体会
在学习中学会灵活运用所学的知识去解决不同的问题。

这个过程把知识应用于实践中,是我能更加快速熟练的掌握知识和运用知识,这样知识才能活学活用!
九.参考文献
数字系统设计与verilog hdl 王金明编著。

相关文档
最新文档