3-Verilog HDL详细语法
Verilog中的一些语法和技巧
Verilog中的⼀些语法和技巧1、.2、.3、Reg型的数据类型默认初始值为X。
reg型数据可以赋正值也可以赋负值,但是当⼀个reg型数据是⼀个表达式的操作数的时候,他的值被当做⽆符号数及正值。
4、在数据类型中?和Z均表⽰⾼阻态。
5、Reg型只表⽰被定义的信号将⽤在“always”模块内,并不是说reg型⼀定是寄存器或触发器的输出。
虽然reg型信号常常是寄存器或触发器的输出但是并不⼀定总是这样。
6、Verilog语⾔中没有多维数组的存在。
Memory型数据类型是通过扩展reg型数据的弟⼦和范围来⽣成的。
其格式如下reg[n-1:0]存储器名[m-1:0];7、在除法和取余的运算中结果的符号和第⼀个操作数的符号位是相同的。
8、不同长度的数据进⾏运算:两个长度不同的数据进⾏位运算时,系统会⾃动地将两者按有端对齐,位数少的操作数会在相应的⾼位⽤0填满以便连个操作数安慰进⾏操作。
9、= = =与!= = =和= =与!= =的区别:后者称为逻辑等是运算符,其结果是2个操作数的值决定的。
由于操作书中某些位可能不定值x和⾼阻态z结果可能是不定值x。
⽽ = = =和!= = =运算符对操作数的⽐较时对某些位的⾼阻态z和不定值x也进⾏⽐较,两个操作数必须完全⼀致,其结果才是1,否则是0.10、⾮阻塞和阻塞赋值⽅式:⾮阻塞赋值⽅式(如a<=b)上⾯语句所赋得变量值不能⽴即被下⾯语句所⽤,(2)快结束后才能完成这次赋值操作 3在编写克综合的时序逻辑模块时这是最常⽤的赋值⽅法。
阻塞赋值(如a=b)赋值语句执⾏完后,块才结束 2 b的值在赋值语句完成后⽴即执⾏ 3在时序逻辑使⽤中,可能产⽣意想不到的结果。
11、模块的描述⽅式:(RTL为寄存器传输级描述)“(1)数据流描述⽅式:数据流⾏描述主要⽤来描述组合功能,具体⽤“assign”连续赋值语句来实现。
分为两种a、显式连续赋值语句;连线型变量类型[连线型变量为快]连线型变量名Assign #(延时量)连线型变量名=赋值表达式;显式连续赋值语句包含了两条语句;第⼀条是对连线型变量的进⾏类型说明的说明语句;第⼆句是对这个已得到声明的连线型变量进⾏连续赋值语句。
verilog语法
第三章 Verilog HDL的基本语法前言Verilog HDL是一种用于数字逻辑电路设计的语言。
用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。
Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。
这也就是说,既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的Verilog HDL模型。
Verilog模型可以是实际电路的不同级别的抽象。
这些抽象的级别和它们对应的模型类型共有以下五种:∙系统级(system):用高级语言结构实现设计模块的外部性能的模型。
∙算法级(algorithm):用高级语言结构实现设计算法的模型。
∙RTL级(Register Transfer Level):描述数据在寄存器之间流动和如何处理这些数据的模型。
∙门级(gate-level):描述逻辑门以及逻辑门之间的连接的模型。
∙开关级(switch-level):描述器件中三极管和储存节点以及它们之间连接的模型。
一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。
其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。
利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。
Verilog HDL行为描述语言作为一种结构化和过程性的语言,其语法结构非常适合于算法级和RTL级的模型设计。
这种行为描述语言具有以下功能:∙可描述顺序执行或并行执行的程序结构。
∙用延迟表达式或事件表达式来明确地控制过程的启动时间。
∙通过命名的事件来触发其它过程里的激活行为或停止行为。
∙提供了条件、if-else、case、循环程序结构。
∙提供了可带参数且非零延续时间的任务(task)程序结构。
第三章 Verilog HDL的基本语法汇总
512个单元,每个单元为32位
3.3 Verilog HDL的运算符
算术运算符 逻辑运算符 关系运算符 等值运算符 位运算符 缩减运算符 移位运算符 条件运算符 拼接运算符
1.算术运算符
算术运算符包括: + (加法运算符或正值运算符,如x+y,+8) - (减法运算符或负值运算符,如x-y,-90) * (乘法运算符,如x*y) / (除法运算符,如x/y) % (取模运算符,如x % y)
1.间隔符: Verilog 的间隔符主要起分隔文本的作用,可以使 文本错落有致,便于阅读与修改。
间隔符包括空格符(\b)、TAB 键(\t)、换行符(\n)及 换页符。
2.注释符:注释只是为了改善程序的可读性,在编译时不起作用。 多行注释符(用于写多行注释): /* --- */; 单行注释符 :以//开始到行尾结束为注释文字。
3.标识符和关键词
标识符:给对象(如模块名、电路的输入与输出端口、变
量等)取名所用的字符串。以英文字母或下划线开始
如,clk、counter8、_net、bus_A 。
关键词:是Verilog语言本身规定的特殊字符串,用来定义 语言的结构。例如,module、endmodule、input、 output、wire、reg、and等都是关键词。关键词都是小 写,关键词不能作为标识符使用 。出始终根据输入的变化而 更新其值的变量,它一般指的是硬件电路中的各种物理 连接.
例:网络型变量L的值由与门的驱动信号 a和b所决定,即L=a&b。a、b的值发 生变化,线网L的值会立即跟着变化。
a
&L
Verilog HDL的基本语法
常量
什么是常量?
在程序运行过程中,其值不能被改变的 量称为常量。 例如:数字、参数等
常量----数字
1、整数: 在Verilog HDL中,整型常量即整常数有以 下四种进制表示形式:
1) 2) 3) 4) 二进制整数(b或B) 十进制整数(d或D) 十六进制整数(h或H) 八进制整数(o或O)
常量----数字
4、下划线:
下划线可以用来分隔开数的表达以提高程 序可读性。但不可以用在位宽和进制处,只 能用在具体的数字之间。见下例: 16'b1010_1011_1111_1010 //合法格式 8'b_0011_1010 //非法格式
常量----参数
在Verilog HDL中用parameter来定义常 量,即用parameter来定义一个标识符代表 一个常量,称为符号常量,即标识符形式的 常量,采用标识符代表一个常量可提高程序 的可读性和可维护性。
常量----参数
parameter型格式如下: parameter 参数名1=表达式,参数名2=表 达式, …, 参数名n=表达式; parameter msb=7; //定义参数msb为常量7 parameter e=25, f=29; //定义二个常数参 数 parameter r=5.7; //声明r为一个实型参数
模块内容
2、功能定义: 模块中最重要的部分是逻辑功能定义部分。有三 种方法可在模块中产生逻辑。 1).用“assign”声明语句 2).用实例元件 3).用“always”块
模块内容
2、功能定义: 1).用“assign”声明语句
如: assign a = b & c;
模块内容
2、功能定义: 2).用实例元件 如: and and_inst( q, a, b );
了解VerilogHDL语法规则吗,看完这篇就知道了
了解VerilogHDL语法规则吗,看完这篇就知道了本节介绍Verilog HDL语法规则,包括文字规则、数据对象及运算符的使用等。
Verilog HDL文字规则1.关键词与标识符关键词是Verilog HDL中预先定义的单词,它们在程序中有特别的使用目的。
已经被用作关键词的单词不可以在程序中另作他用,见表3-1。
不同版本的Verilog HDL硬件描述语言中定义的关键词数目略有变化,Verilog 1995的关键词有97个,Verilog 2001共102个。
标识符是用户编程时给对象定义的名称,对象包括:常量、变量、模块、寄存器、端口、连线、示例和beginend块等元素。
定义标识符时应遵循如下规则:只能由26个大小写英文字母、数字和下划线组成。
标识符的第一个字符必须是英文字母或下划线。
字符中的英文字母区分大小写。
【例3-7】判断下面标识符是否合法。
2.注释与C语言一样,硬件描述语言中的注释也不会被编译。
在Verilog HDL中有两种形式的注释方式:采用/* */,多用于多行注释。
采用//,用于单行注释。
【例3-8】注释举例。
在实际使用中,很多公司的编程规范明确表明,注释行中不提倡采用第一种/**/的注释方式,不允许使用中文注释。
3.常数的表示在Verilog HDL中,常数用来表示在程序中不随意变化的量,常数分为整数、实数及字符串三大类型。
(1)整数型常数是数字电路中最常用到的类型,在Verilog HDL中有两种表示方法:简单的十进制格式,例如-50、6等。
基数格式,其表达方式一般如下:〈位宽〉是十进制数值表示的常数化成二进制时对应的宽度,〈进制符号〉用进制符号b或B(二进制)、o或O(八进制)、d或D(十进制)、h或H(十六进制)表示常数的进制格式,即二进制、八进制、十进制、十六进制这4种进制表示。
数字的位宽可以默认,如果没有定义长度,数的长度由具体机器系统决定(至少是32位)。
【例3-9】常数表示方法举例。
VerilogHDL语法基础
VerilogHDL语法基础⼀个复杂电路的完整Verilog HDL模型是由若个Verilog HDL 模块构成的,每⼀个模块⼜可以由若⼲个⼦模块构成。
利⽤Verilog HDL语⾔结构所提供的这种功能就可以构造⼀个模块间的清晰层次结构来描述极其复杂的⼤型设计。
每个模块的内容都是嵌在module和endmodule两个语句之间,每个模块实现特定的功能,模块是可以进⾏层次嵌套的。
每个模块⾸先要进⾏端⼝定义.并说明输⼊(input)和输出(output),然后对模块的功能进⾏逻辑描述。
Verilog HDL程序的书写格式⾃由,⼀⾏可以写⼏个语句,⼀个语句也可以分多⾏写。
除了endmodule语句外,每个语句的最后必须有分号。
⼀个模块是由两部分组成的,⼀部分描述接⼝;另⼀部分描述逻辑功能,即定义输⼊是如何影响输出的。
模块(block)的组成Verilog HDL结构完全嵌在module和endmodule声明语句之间,每个Verilog程序包括4个主要部分:端⼝定义,I/O说明,信号类型声明和功能描述。
module<模块名>(<端⼝列表>);端⼝说明(input,output,inout)参数定义(可选)数据类型定义连续赋值语句(assign)过程块(initial 和 always)⾏为描述语句低层模块实例任务和函数延时说明块endmodule模块声明模块声明包括模块名和端⼝列表。
其格式如下:module 模块名(端⼝1,端⼝2,端⼝3,…);模块结束的标志为关键字:endmodule。
端⼝定义input(输⼊端⼝),output(输出端⼝)和inout(双向端⼝)。
格式如下:input 端⼝名1,端⼝名2,………,端⼝名N; //输⼊端⼝output 端⼝名1,端⼝名2,………,端⼝名N; //输出端⼝inout 端⼝名1,端⼝名2,………,端⼝名N; //输⼊输出端⼝也可以写在端⼝声明语句⾥,其格式如下(为了代码的可读性,⼀般不这么写):module module_name(input port1,input port2,…output port1,output port2… );信号类型说明信号可以分为端⼝信号和内部信号;1. 所有信号都必须进⾏数据类型的定义,如寄存器类型(reg等),连线类型(wire等);2. 如果信号没有定义数据类型,则综合器将其默认为wire型;3. 端⼝的位宽最好定义在端⼝定义中,不要放在数据类型定义中;4. 不能将input和inout类型声明为reg型;模块的端⼝表⽰的是模块的输⼊和输出⼝名,也就是说,它与别的模块联系端⼝的标识。
Verilog-HDL中的基本语法
一个完整的源程序都应当加上需要的注释, 以加强程序的可读性。
2.2 Verilog HDL的语法
2.2.1 空白符和注释
Verilog HDL的空白符包括空格、tab符号、换行 和换页。
空白符如果不是出现在字符串中,编译源程序 时将被忽略。
8. 条件操作符(Conditional operators)
条件操作符为:?:
条件操作符的操作数有3个,其使用格式为
操作数 = 条件 ? 表达式1:表达式2;
即当条件为真(条件结果值为1)时,操作数 = 表达式1;为假(条件结果值为0)时,操作数 = 表达 式2。
9. 位并接操作符(Concatenation operators) 并接操作符为:{} 并接操作符的使用格式: {操作数1的某些位,操作数2的某些位,…,操作数n 的某些位};
位运算操作符包括:~(按位取反)、&(按位与)、 |(按位或)、^(按位异或)、^~或~^(按位同或)。
在进行位运算时,当两个操作数的位宽不同时, 计算机会自动将两个操作数按右端对齐,位数少的操 作数会在高位用0补齐。
4. 关系操作符(Pelational operators)
关系操作符有:
<(小于)、<=(小于等于)、>(大于)、>=(大 于等于)。
② 每个模块首先要进行端口定义,并说明输入 (input)、输出(output)或双向(inouts),然 后对模块的功能进行逻辑描述。
③ Verilog HDL程序的书写格式自由,一行可以一 条或多条语句,一条语句也可以分为多行写。
④ 除了endmodule语句外,每条语句后必须要有 分号“;”。
Verilog HDL 3
图3-2 值变化快于时延间隔
上面例子中的时延值只出现了一个,事实上这只 是一个“上升时延”,连续赋值语句中的时延可 以指定3类时延值:上升时延、下降时延和关闭时 延(值变为x的时延)。这是一种更加精细的时延 定义方式,其语法形式如下: assign # (rise, fall, turn-off ) LHS_target=RHS_expression; 其中rise是上升时延,fall是下降时延,turn-off是关 闭时延。
其具体含义可以根据下面的例子看出来: assign Bus = MemAddr [7:4]; // 没有定义时延, 则所有时延都是0 assign #4 Ask = Quiet || Late; // 只有1个时延 值,表示上升时延、下降时延和关闭时延都是4 assign # (4,8) Ask = Quick ; /* 有2个时延值, 表示上升时延是4,下降时延是8,关闭时延是 4和8之中的较小值,即4 */ assign # (4,8,6) Arb = & DataBus; // 有3个时延值, 表示上升时延是4,下降时延是8,关闭时延是6
3.2 顺序行为建模
顺序行为建模是Verilog HDL行为建模的主 要方法,也是Verilog HDL最能体现其高级 编程语言之处,如果学过其他高级变成语 言(如C语言),就会对本节介绍的诸如ifelse、for循环之类的语法结构非常熟悉。
此外,本节还将介绍过程赋值的特点和用 法。就像连续赋值用于数据流行为建模一 样,过程赋值用于顺序行为建模。
如下例: assign Mux = (S = = 0)? A : 'bz; assign Mux = (S = = 1)? B : 'bz; assign Mux = (S = = 2)? C : 'bz; assign Mux = (S = = 3)? D : 'bz;
Verilog-HDL中的语句
第三章 Verilog-HDL中的语句1.基本语句1.1赋值语句赋值语句分为连续赋值语句和过程赋值语句。
1.1.1连续赋值语句1.连续赋值语句用于把值赋给wire型变量(不能为reg型变量赋值)。
语句形式为:assign A = B & C;a.只要在右端表达式的操作数上有事件(事件为值的变化)发生时,表达式即被计算;b.如果计算的结果值有变化,新结果就赋给左边的线网。
2.连续赋值的目标类型♦标量线网 wire a;♦向量线网 wire [7:0] a;♦向量线网的常数型位选择 a[1]♦向量线网的常数型部分选择 a[3:1]♦上述类型的任意的拼接运算结果 {3a[2],a[2:1]}注:多条assign语句可以合并到一起。
3.线网说明赋值连续赋值可作为线网说明本身的一部分。
这样的赋值被称为线网说明赋值。
如: wire Clear = 'b1;等价于 wire clear;assign clear=‘b1;1.1.2 过程赋值语句1.a.过程性赋值是仅仅在initial语句或always语句内的赋值b.它只能对reg型的变量赋值。
表达式的右端可以是任何表达式。
c.过程性赋值分两类:阻塞性过程赋值 =非阻塞性过程赋值<=2.语句内部时延与句间时延a.在赋值语句中表达式右端出现的时延是语句内部时延。
Done = #5 1'b1;b.通过语句内部时延表达式,右端的值在赋给左端目标前被延迟。
即右端表达式在语句内部时延之前计算,随后进入时延等待,再对左端目标赋值。
c.对比以下语句间的时延beginTemp = 1'b1;#5 Done = Temp; //语句间时延控制end3.阻塞性过程赋值a.赋值运算符是“=”的过程赋值是阻塞性过程赋值。
b.阻塞性过程赋值在在下一语句执行前,执行该赋值语句。
例:initialbeginClr = #5 0;Clr = #4 1;Clr = #10 0;end4.非阻塞性过程赋值a .在非阻塞性过程赋值中,使用赋值符号“ <=”。
第三讲 verilog的基本语法
包含下述不同种类的线网子类型。 wire, tri 用于连线的最常见的线网类型 wor, trior 线或 wand, triand 线与 trireg 此线网存储数值,用于电容节点的建模 tri1, tri0 用于线逻辑的建模,上拉或下拉驱动 supply0, supply1 supply0用于对“地”建模,supply1对电源建 模
如 a[1]
向量的常数型部分选择
如:a[3:1]
上述类型的任意的拼接运算结果
29
连续赋值语句举例
module F A _ D f (A, B, Cin, Sum, Cout ) ; input A, B, Cin; output Sum, Cout ; assign S u m = A ^B ^Cin; assign C o u t = (A & Cin) | (B & Cin) | (A & B) ; endmodule
8
下划线
下划线可以用来分割数的表达式以提高程序的可读 性,但不能用在位宽和进制处,只能用于具体的数字 之间 比如 16’b1010_1011_11111_000 //合法格式 8’b_0011_1011 //非法格式
9
负数
一个数字可以被定义成负数,只要在位宽表达式前 加一个减号 - 8’d5 // 合法格式 8’d-5 //非法格式
BpW和BpR电路图
31
寄存器(register)类型变量
寄存器( 寄存器(register)类型变量 ) register 型变量能保持其值,直到它被赋于新的值。 register 型变量常用于行为建模,产生测试的激励信号。 常用行为语句结构来给寄存器类型的变量赋值。
有关Verilog 中的一些语法
有关Verilog 中的一些语法位运算符1) ~ //取反2) & //按位与3) | //按位或4) ^ //按位异或5) ^~ //按位同或(异或非)逻辑运算符在Verilog HDL语言中存在三种逻辑运算符:1) &&逻辑与2) || 逻辑或3) !逻辑非等式运算符在Verilog HDL语言中存在四种等式运算符:1) == (等于)2) != (不等于)3) === (等于)4) !== (不等于)"=="和"!="又称为逻辑等式运算符。
其结果由两个操作数的值决定。
由于操作数中某些位可能是不定值x和高阻值z,结果可能为不定值x。
而"==="和"!=="运算符则不同,它在对操作数进行比较时对某些位的不定值x和高阻值z也进行比较,两个操作数必需完全一致,其结果才是1,否则为0。
"==="和"!=="运算符常用于case表达式的判别,所以又称为"case等式运算符"。
位移运算符左移:右边的添0右移:左边的添0,移除的位舍去举例:4’b1001<<1 = 5’b10010; 4’b1001<<2 = 6’b100100;1<<6 = 32’b1000000; 4’b1001>>1 = 4’b0100; 4’b1001>>4 = 4’b0000;位拼接运算符1.{a,b[3:0],w,3’b101}也可以写成为{a,b[3],b[2],b[1],b[0],w,1’b1,1’b0,1’b1}2.{4{w}} //这等同于{w,w,w,w}3.{b,{3{a,b}}} //这等同于{b,a,b,a,b,a,b}负数:一个数字可以被定义为负数,只需在位宽表达式前加一个减号,减号必须写在数字定义表达式的最前面。
4_verilog_hdl语法
$strobe(“格式控制符”,输出变量名列表)
27
28
29
30
31
3、$time,$realtime
属于显示仿真时间标度的系统函数,这两个函数被调用时, 都返回当前时刻距离仿真开始时刻的时间量值。所不同的是, $time函数以64位整数值的形式返回模拟时间,$realtime函数 则以实数型数据返回模拟时间
(线网有多个驱动)。Verilog HDL模块中的输入/输出信
号没有明确指定数据类型时,默认为wire型。它可做任何 表达式的输入,也可以用assign语句和实例元件的输出。 格式: wire 数据名1,数据名2,…… wire a,b(位宽为1)
14
说明:
④如果没有定义一个整数的位宽,器宽度为相应值中定 义的位数,例如:‘o721(9位八进制数);‘hAF(8位
十六进制数)
⑤ 如果定义的位宽比实际的位数长,通常在左边填0补 位。但如果数最左边一位为x或z,就相应地用x或z在左边 补 位 。 例 如 : 10‟b10 ( 左 边 补 0 , 10„b0000000010 ) ; 10‟bx0x1(10„bxxxxxxx0x1)。 反之,如果定义的位宽比实际的位数小,那么最左 边 的 位 相 应 的 被 截 断 。 例 如 : 3‟b1001_0011 ( 相 当 于 3‟b011);5„H0FFF(相当于5‟H1F)
43
Verilog中的变量分为如下两种数据类型: ◆ net型 ◆ variable型 net型相当于硬件电路中的各种物理连接,其特点是输出的 值紧跟输入值的变化而变化。Net型数据的值取决于驱动的 值,对net型变量有两种驱动方式,一种方式是在结构描述 中将其连接到一个门元件或模块的输出端;另一种方式是 用持续赋值语句assign对其进行赋值,如果net型变量没有 连接到驱动,其值为高阻态z(trireg除外)中常用的有 wire(线网只有1个驱动)、tri(线网有多个驱动), wand,wor,triand,trior,trireg等;
Verilog高级语法
//逻辑值为“1”
//逻辑值为“1”
//逻辑值为“x” !
// ans = 0
// ans = 1
// ans = 1
// ans = x
//ans = 0 逻辑反
//ans = 1100 按位取反
20
Verilog设计
3.3 运算符
拼接运算符:{}
reg[3:0] A,B; reg[5:0] C; C={ A[3:1], B[3:1] }
4’b1101 && 4’b0101=? 4’b1101 & 4’b0101=?
拼接运算符:{}
4’b1101 && 4’b0101= 1‘b1
缩减运算符
4’b1101 & 4’b0101= 4b’0101
其它
19
Verilog设计
3.3 运算符
逻辑运算符:包括逻辑与&&,逻辑或 ||,逻辑非 !
例如:
data = ‘b11x0; data_expectd= ‘b11x0
data == data_expected // 结果未知,为x data === data_expected // 结果为1
22
Verilog设计
3.3 运算符
缩减运算符(reduction operator)
单目——把操作数中的所有的位进行位运算 与、或、异或、与非、或非、异或非
reg [n-1:0] rega; // nbit 矢量的寄存器型数据类型
矢量通过关键字与变量名之间的方括号的高位/低位表示其 变量的位宽[高位: 低位],最左边的位定义为MSB,最右边的位 定义为LSB。在矢量变量声明中可以通过关键字vectored和 scalared进行扩展声明。
Verilog_HDL教程
Verilog_HDL教程
1.简介
Verilog HDL是由美国电气和电子工程师协会(IEEE)于1984年开
发的一种硬件描述语言。
它是一种面向数据流的语言,主要用于描述数字
逻辑电路的行为和结构。
Verilog HDL可以用于设计和仿真各种数字电路,如计算机处理器、存储器、控制逻辑和通信接口等。
2.基本语法
下面是Verilog HDL的基本语法元素:
2.1模块
模块是Verilog HDL中的最基本的构建单元。
每个模块都有一个名称
和一个端口列表。
端口可以是输入或输出,也可以是双向的。
2.2数据类型
2.3信号赋值
可以使用赋值运算符(=)将值赋给变量或信号。
还可以使用阻塞或
非阻塞赋值运算符来实现顺序或并发的赋值操作。
3.设计结构
4.运算符
5.仿真和验证
总结:
本教程简要介绍了Verilog HDL的基本语法和用法。
Verilog HDL是一种被广泛应用于数字电路设计的硬件描述语言。
通过学习本教程,您将能够开始使用Verilog HDL进行数字电路的设计和验证。
Verilog HDL 基本语法.ppt
关键词
❖ Verilog HDL 定义了一系列保留字,叫做关键 词
❖ 注意只有小写的关键词才是保留字
书写规范建议
❖ 1、用有意义的有效的名字如 Sum 、CPU_addr等。 ❖ 2、用下划线区分词。 ❖ 3、采用一些前缀或后缀,如
时钟采用clk 前缀:clk_50,clk_CPU; 低电平采用_n 后缀:enable_n;
按位逻辑运算符
❖ A = 'b0110; ❖ B = 'b0100; ❖ 那么: ❖ A | B 结果为0 1 1 0 ❖ A & B 结果为0 1 0 0
❖ 如果操作数长度不相等, 长度较小的操作数在最左侧添0 补 位。例如, 'b0110 ^ 'b10000 与如下式的操作相同: 'b00110 ^ 'b10000 结果为' b 1 0 11 0 。
//4bit //6bit
关系运算符
❖ >(大于) ❖ <(小于) ❖ >=(不小于) ❖ <=(不大于) ❖ = = (逻辑相等) ❖ != (逻辑不等)
❖ 关系操作符的结果为真(1 )或假(0 )。如果操 作数中有一位为X 或Z ,那么结果为X 。
关系运算符举例
❖ 23 > 45 结果为假(0 )。 ❖ 52 < 8'hxFF 结果为x 。 ❖ 如果操作数长度不同,长度较短的操作数在最重要的位方向
是可选的;如果没有定义范围,缺省值为1 位寄存器。例如:
❖ reg [3:0] Sat; // Sat 为4 位寄存器。 ❖ reg Cnt; //1 位寄存器。 ❖ reg [31:0] Kisp, Pisp, Lisp ; ❖ 对数组类型,请按降序方式,如[7:0] ;
3.2 VerilogHDL的基本语法(2)
b)
always
@(sel[1:0]
or
a
or
b)
case(sel[1:0]) 2‘b00: 2‘b11: endcase q<=a; q<=b;
case(sel[1:0]) 2‘b00: 2‘b11: default: endcase q<=a; q<=b; q<=‘b0;
有 锁 存 器
无 锁 存 器
fork
#50
r = 'h35; #100 r = 'hE2; #150 r = 'h00; #200 r = 'hF7; #250 -> end_wave; //触发事件end_wave.
join
起始时间和结束时间
fork
#250
-> end_wave; #200 r = 'hF7; #150 r = 'h00; #100 r = 'hE2; #50 r = 'h35;
3.6.1 forever语句
forever语句的格式如下:
语句; forever begin 多条语句 end
forever
forever循环语句常用于产生周期性的波形,用 来作为仿真测试信号。 它与always语句不同处在于不能独立写在程序 中,而必须写在initial块中。
3.6.2 repeat语句
join
3.5.1 条件语句——if_else语句
(1) if(表达式)语句; (2) if(表达式) 语句1; else 语句2; (3) if(表达式1) 语句1; else if(表达式2) 语句2; else if(表达式3) 语句3; ........
第05讲 Verilog-HDL语法——第3部分 数据类型和逻辑值
module md1(out, in1, in2); ….. parameter cycle=20, prop_del=3, setup=cycle/2-prop_del, p1=8, x_word=16’bx, file = “/user1/jmdong/design/mem_file.dat”; wire [p1:0] w1; //用参数来说明wire 的位宽 …. initial begin $open(file); ……. #20000 display(“%s”,file); $stop end …. endmodule
到net上。
net类的类型(线网)
在为不同工艺的基本元件建立库模型的时候,常常需要用
不同的连接类型来与之对应,使其行为与实际器件一致。
net类型 wire, tri supply1, supply0 wor, trior wand, triand trireg tri1, tri0 功 能 标准内部连接线(缺省) 电源(逻辑1)和地(逻辑0) 多驱动源 线或 多驱动源 线与 能保存电荷的net 无驱动为时上拉/下拉电阻
if (a) o1 = b; else o1 = 0; endmodule
常出的错误及相应的错误信息(error
message)
在过程块中对变量赋值时,忘了把它定义为寄存器类型
(reg)或已把它定义为连接类型了(wiห้องสมุดไป่ตู้e)。
信息: illegal reference to net <name>. 把实例的输出连接出去时,把它定义为寄存器类型了。
第5章verilogHDL语法规范3基本结构和结构说明
(2)通常采用异步清零!只有在时钟周期很小或清零信号为 电平信号时(容易捕捉到清零信号)采用同步清零。
18
5.7结构说明语句
二、initial语句
格式
initial begin 语句1; 语句2; …… 语句n; end
ISE部分支持!
[例3.9.4] 利用initial语句生成激励波形。
initial begin inputs = ’b000000; #10 inputs = ’b011001; #10 inputs = ’b011011; #10 inputs = ’b011000; #10 inputs = ’b001000; end
在仿真的初始状态对各变量进行初始化; 在测试文件中生成激励波形作为电路的仿真信号。
19
结构说明语句
[例] 对各变量进行初始化。
…… parameter size=16; reg[3:0] addr; reg reg1; reg[7:0] memory[0:15]; initial begin reg1 = 0; for(addr=0;addr<size;addr=addr+1); memory[addr]=0; end ……
21
5.7结构说明语句
1.任务(task)
ISE支持!
当希望能够对一些信号进行一些运算并输出多个结果(即有多 个输出变量)时,宜采用任务结构。
11
5.7结构说明语句
注2:always语句必须与一定的时序控制结合在一起才有用! 如果没有时序控制,则易形成仿真死锁!
[例]生成一个0延迟的无限循环跳变过程——形成仿真死锁! always areg = ~areg; [例]在测试文件中,用于生成一个无限延续的信号波形 ——时钟信 号 ‘define half_period 50 module half_clk_top; reg reset, clk; // 输入信号 wire clk_out; // 输出信号 always #half_period clk = ~clk; …… endmodule
veriloghdl语法
veriloghdl语法Verilog HDL(硬件描述语言)是一种硬件描述和设计语言,用于描述和设计数字电路和系统。
以下是Verilog HDL的基本语法:1. 模块声明:module module_name (input declaration, output declaration, inout declaration);// 逻辑和/或数据声明// 逻辑和/或数据操作endmodule2. 输入和输出声明:input [width-1:0] input_name;output [width-1:0] output_name;3. 内部信号声明:reg [width-1:0] signal_name;wire [width-1:0] signal_name;4. 运算符:- 逻辑运算符: &&(与)、 ||(或)、 !(非)- 比较运算符: ==(等于)、 !=(不等于)、 <(小于)、 >(大于)- 算术运算符: +(加)、 -(减)、 *(乘)、 /(除)5. 过程块:always @(sensitivity_list)// 过程块中的语句6. 过程块敏感列表:- posedge clk:时钟的上升沿- negedge clk:时钟的下降沿- expression:表达式更新时7.条件语句:if (condition)// 如果条件为真执行的语句else if (condition)// 如果第一个条件不为真,检查第二个条件是否为真else// 如果以上条件均不为真执行的语句8. 选择语句:case (expression)value1: // 如果expression等于value1执行的语句value2: // 如果expression等于value2执行的语句default: // 如果expression不等于任何值执行的语句endcase9. 迭代语句:for (initialization; condition; increment)// 循环体内的语句以上是Verilog HDL的一些基本语法,可以用于描述和设计数字电路和系统。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
模块的测试(续)
如何把被测模块的输出变化记录到数据库文件中?
(文件格式为VCD,大多数的波形显示工具都能读取该格式)
可用以下七个系统任务:
1) 2) 3) 4) 5) 6) 7)
$dumpfile(“file.dump”); //打开记录数据变化的数据文件 $dumpvars(); //选择需要记录的变量 $dumpflush; //把记录在数据文件中的资料转送到硬盘保存 $dumpoff; //停止记录数据变化 $dumpon; //重新开始记录数据变化 $dumplimit(<file_size>); //规定数据文件的大小(字节) $dumpall; //记录所有指定信号的变化值到数据文件中
//1.23 ps中共有12个STU(100fs)
编译引导语句
时间单位 :
fs (呼秒)femptoseconds: ps (皮秒) picoseconds: ns (纳秒) nonoseconds: us (微秒) microseconds: ms (毫秒) milliseconds: s ( 秒) seconds: 1.0E-15 1.0E-12 1.0E-9 1.0E-6 1.0E-3 1.0 秒 秒 秒 秒 秒 秒
举例说明数据类型的选择
module top; wire y; reg a, b; DUT u1(y,a,b); initial begin a = 0; b = 0; #10 a =1; …. end endmodule
模块DUT的边界
net/register
输入口
net
net/register
输出口
编译引导语句
`uselib 编译引导语句:
用于定义仿真器到哪里去找库元件 如果该引导语句启动的话 ,它就一直有效 直到遇到另外一个`uselib的定义或`resetall语 句 比其他配置库搜索路径的命令选项作用大
如果仿真器在`uselib定义的地点找不到器件库,它 不会转向由编译命令行-v 和-y选项指定的器件库去 找。
编译引导语句
使用`define 编译引导能提供简单的文本替代功能 `define <宏名> <宏文本> 在编译时会用宏文本来替代源代码中的宏名。 合理地使用`define可以提高程序的可读性
举例说明:
`define on 1’b1 `define off 1’b0 `define and_delay #3 在程序中可以用有含义的文字来表示没有意思的数码提高了程序 的可读性,在程序中可以用 `on, `off, `and_delay 分别表 示 1,0,和 #3 。
-------------------------------------------------------
例:
initial $monitor($time,”a=%b, b=%h”, a, b);
//每当a 或b值变化时该系统任务都显示当前的仿真时刻并分别 用二进制和十六进制显示信号a和 b的值
编译引导语句
使用 `uselib 的语法:
`uselib 器件库1的地点 器件库2的地点 。。。
上面的器件库地点可用以下两种方法表示: 1) file = 库文件名的路径 2) dir = 库目录名的路径 libext = .文件扩展 例如:
`uselib dir =/lib/FAST_lib/ `uselib dir =/lib/TTL_lib/ libext=.v file = /libs/TTL_U/udp.lib
第三部分 Verilog HDL详细语法
主要内容:
Verilog与C的主要不同点 模块实例化要点 如何选择正确的数据类型 Verilog HDL模块的测试 Verilog测试模块的编写 存储器建模 Verilog中的高级结构 用户定义的原语
3.1 Verilog与C的主要不同点
模块的测试(续)
如何观察被测模块的响应: 在initial 块中,用系统任务$time 和 $monitor $time 返回当前的仿真时刻 $monitor 只要在其变量列表中有某一个或某几个变 量值发生变化,便在仿真单位时间结束时显示其变 量列表中所有变量的值。
例: initial begin $monitor ($time, “out=%b a=%b sel=%b”, out, a, sel); end
端口与外部信号的连接
在调用模块时,可以用顺序连接和按名连接把模块 定义的端口与外部信号连接起来
顺序连接:需要连接的信号需要与模块声明的端口列表一致; 按名连接:端口和外部信号按名字连接在一起.
module D_FF (d, clk, clr, q, qb); .... endmodule module REG4( d, clk, clr, q, qb); output [3: 0] q, qb; input [3: 0] d; input clk, clr; D_FF d0 (d[ 0], clk, clr, q[ 0], qb[ 0]); D_FF d1 (d[ 1], clk, clr, q[ 1], qb[ 1]); D_FF d2 (d[ 2], clk, clr, q[ 2], qb[ 2]); D_FF d3 (d[ 3], clk, clr, q[ 3], qb[ 3]); endmodule
模块的测试(续)
测试模块中常用的过程块:
initial always 所有的过程块都 在0时刻同时启 动;它们是并行 的,在模块中不 分前后。 initial块 只 执行一次。 always块 只 要符合触发条件 可以循环执行。
模块的测试(续)
如何描述激励信号: module t; reg a, b, sel; wire out; //引用多路器实例 mux2_m (out, a, b, sel); //加入激励信号 initial begin a=0; b=1; sel=0; #10 b=0; #10 b=1; sel=1; #10 a=1; #10 $stop; end
编译引导语句
`timescale 用于说明程序中的时间单位和仿真精度 举例说明: `timescale 1ns/100ps `timescale 语句必须放在模块边界前面 举例说明: `timescale 1ns/100ps
module MUX2_1(out,a,b,sel); …… not #1 not1(nsel, sel); and #2 and1(a1, a, nsel); …… endmodule
Verilog 有许多语法规则与 C 语言一致。
但与 C 语言有根本的区别: 并行性 块的含义: initial 块 和 always块 两种赋值语句: 阻塞 赋值 “=”
非阻塞赋值
“ <= ”
系统任务和函数
$<标识符> ‘$’ 符号表示 Verilog 的系统任务和函数 常用的系统任务和函数有下面几种: 1) $time //找到当前的仿真时间 2) $display, $monitor //显示和监视信号值的变化 3) $stop //暂停仿真 4) $finish //结束仿真
编译引导语句
使用`include 编译引导,在编译时能把其指定的整 个文件包括进来一起处理
举例说明:
`include “global.v” `include “parts/counter.v” `include “../../library/mux.v”
合理地使用`include 可以使程序简洁、清晰、条理清 楚、易于查错。
3.2 模块实例化要点
可以将模块的实例通过端口连接起来构成一个大的系统或元件。 每个实例都有自己的名字。实例名是每个对象唯一的标记,通过
这个标记可以查看每个实例的内部。
实例中端口的次序与模块定义的次序相同。 模块实例化与调用程序不同。每个实例都是模块的一个完全的拷
贝,相互独立、并行。
net
输出/入口
net inout
module DUT(Y, A, B_); output Y; input A,B:
net
wire Y, A, B;
and (Y, A, B); endmodule
选择数据它定义为寄存器 类型(reg)或已把它定义为连接类型了(wire) 把实例的输出连接出去时,把它定义为寄存器类型 了 把模块的输入信号定义为寄存器类型了。
特殊符号 “#”
特殊符号 “#” 常用来表示延迟:
在过程赋值语句时表示延迟。 例:initial begin #10 rst=1; #50 rst=0; end 在门级实例引用时表示延迟。 例:not #1 not1(nsel, sel); and #2 and2(a1, a, nsel); 在模块实例引用时表示参数传递
模块的测试(续)
被测模块
激励和控 制信号
输出响应 和验证
模块的测试(续)
测试模块常见的形式:
module t; reg …; //被测模块输入/输出变量类型定义 wire…; //被测模块输入/输出变量类型定义 initial begin …; …; …; end … …//产生测试信号 always #delay begin …; end … …//产生测试信号 testedmd m(.in1(ina), .in2(inb), .out1(outa), .out2(outb) ); //被测模块的实例引用 initial begin ….; ….; …. endmodule end //记录输出和响应
尽可能地使精度与时间单位接近,只要满足设计的 实际需要就行。 举例说明:在上例中所有的时间单位都是1ns的整数倍
编译引导语句
仿真步长即仿真单位(STU) 是所有参加仿真模块中由`timescale 指定 的精度中最高(即时间最短)的那个决定的: (STU=100fs) 举例: `timescale 1ns/10ps module M1(….); not #1.23 not1(nsel, sel); //1.23 ns中共有12300个STU(100fs) endmodule `timescale 100ns/1ns module M2(….); not #1.23 not1(nsel, sel); //123 ns中共有1230000个STU(100fs) endmodule `timescale 1ps/100fs module M3(….); not #1.23 not1(nsel, sel); endmodule