《计算机组成原理》算术逻辑运算实验报告
《计算机组成原理》算术逻辑运算实验报告
《计算机组成原理》实验报告实验名称:算术逻辑运算实验班级:学号:姓名:一、实验目的1、了解运算器芯片(74LS181)的逻辑功能2、掌握运算器数据的载入、读取方法,掌握运算器工作模式的设置3、观察在不同工作模式下数据运算的规则二、实验设备1、YY—Z02计算机组成原理实验仪一台。
2、排线若干。
3、PC微机一台。
三、实验原理1、74LS181封装图A0~A3:第一组操作数据输入端B0~B3:第二组操作数据输入端F0~F3:操作结果数据输出端S0~S3:操作功能控制端Cn:低端进位接受端Cn4:高位进位输出端M:算术/逻辑功能控制端2、74LS181逻辑功能控制表逻辑功能控制表 3、算术逻辑运算部件原理图、算术逻辑运算部件原理图四、实验结果记录1、连线准备(1)把输入、输出单元(INPUT/OUTPUT INPUT/OUTPUT UNITUNIT )的IO-R 、IO-W 与手动控制开关单元(MANUAL UNIT )的IO-R 、IO-W 相连接相连接(2)(INPUT/OUTPUT UNIT )的Ai 接地接地(3)把算术逻辑部件(ALU UNIT )的S3-S0、M 、Ci 与手动控制开关单元(MANUAL UNIT )的S3-S0、M 、Ci 相连接相连接(4)把算术逻辑部件(ALU UNIT )的B-DA1、B-DA2、ALU-B 手动控制开关单元(MANUAL UNIT )的B-DA1、B-DA2、ALU-B 相连接相连接2、记录结果(包含采集结果前的动作)1、数据送入过程(1)把开关IO-R 、IO-W 、B-DA1、B-DA2、ALU-B 拨上,确保为高电平,使这些信号处于无效状态于无效状态(2)在输入数据的开关上拨好输入数据代码,如“00010001” (3)把输入控制信号IO-R 开关拨下成低电平开关拨下成低电平(4)把第一组数据输入控制信号B-DA1的开关拨动一次,即实现“1“1-0--0--0-1”1”,产生一个负脉冲,作用是把数据送人第一数据寄存器DA1中 2、数据运算过程(1)按照不同的算术/逻辑运算功能,拨好S3-S0、M 、Ci (2)把ALU-B 控制信号开关拨下呈现低电平,这时运算结果送到总线,在总线指示灯上可观察到此数据可观察到此数据 3、实验结果记录DA1 DA2 S3-S0 M=0(算术) M=1(逻辑运算)运算) Ci=1 Ci=0 00110101 10100111 0000 00110101 00110110 11001010 00110101 10100111 0001 10110111 10111000 01001000 00110101 10100111 0010 01111101 01111110 10000010 00110101 10100111 0011 11111111 00000000 00000000 00110101 10100111 0100 01000101 01000110 11011010 00110101 10100111 0101 11000111 11001000 01011000 00110101 10100111 0110 10001101 10001110 10010010 00110101 10100111 0111 00001111 00010000 00010000 00110101 10100111 1000 01011010 01011011 11101111 00110101 10100111 1001 11011100 11011101 01101101 00110101 10100111 1010 10100010 10100011 10100111 00110101 10100111 1011 00100100 00100101 00100101 00110101 10100111 1100 01101010 01101011 11111111 00110101 10100111 1101 11101100 11101101 01111101 00110101 10100111 1110 10110010 10110011 10110111 00110101 10100111 1111 00110100 00110101 00110101 五、实验总结与心得体会(1)在连线时一定要非常仔细小心,一旦连错的话,实验根本无法进行)在连线时一定要非常仔细小心,一旦连错的话,实验根本无法进行 (2)通过这次实验使自己对计算机内的算术/逻辑运算有了更进一步的了解逻辑运算有了更进一步的了解。
计算机组成原理--实验二算术逻辑运算实验
计算机组成原理--实验⼆算术逻辑运算实验实验⼆算术逻辑运算实验⼀、实验⽬的(1)了解运算器芯⽚(74LS181)的逻辑功能。
(2)掌握运算器数据的载⼊、读取⽅法,掌握运算器⼯作模式的设置。
(3)观察在不同⼯作模式下数据运算的规则。
⼆、实验原理1.运算器芯⽚(74LS181)的逻辑功能74LS181是⼀种数据宽度为4个⼆进制位的多功能运算器芯⽚,封装在壳中,封装形式如图2-3所⽰。
5V A1 B1 A2 B2 A3 B3 Cn4 F3BO A0 S3 S2 S1 S0 Cn M F0 F1 F2 GND图2-374LS181封装图主要引脚有:(1)A0—A3:第⼀组操作数据输⼊端。
(2)B0—B3:第⼆组操作数据输⼊端。
(3)F0—F3:操作结果数据输⼊端。
(4)F0—F3:操作功能控制端。
(5)Cn:低端进位接收端。
(6)(7)M:算数/逻辑功能控制端。
芯⽚的逻辑功能见表2-1.从表中可以看到当控制端S0—S3为1001、M为0、Cn为1时,操作结果数据输出端F0—F3上的数据等于第⼀组操作数据输⼊端A0—A3上的数据加第⼆组操作数据输⼊端B0—B3上的数据。
当S0—S3、M、Cn上控制信号电平不同时,74LS181芯⽚完成不同功能的逻辑运算操作或算数运算操作。
在加法运算操作时,Cn、Cn4进位信号低电平有效;减法运算操作时,Cn、Cn4借位信号⾼电平有效;⽽逻辑运算操作时,Cn、进位信号⽆意义。
2.运算器实验逻辑电路试验台运算器实验逻辑电路中,两⽚74LS181芯⽚构成⼀个长度为8位的运算器,两⽚74LS181分别作为第⼀操作数据寄存器和第⼆操作数据寄存器,⼀⽚74LS254作为操作结果数据输出缓冲器,逻辑结构如图2-4所⽰。
途中算术运算操作时的进位Cy 判别进位指⽰电路;判零Zi和零标志电路指⽰电路,将在实验三中使⽤。
第⼀操作数据由B-DA1(BUS TO DATA1)负脉冲控制信号送⼊名为DA1的第⼀操作数据寄存器,第⼆操作数据由B-DA2(BUS TO DATA2)负脉冲控制信号送⼊名为DA2的第⼆操作数据寄存器。
计算机组成原理实验报告 算术逻辑运算单元实验
西华大学数学与计算机学院实验报告课程名称:计算机组成原理年级:2011级实验成绩:指导教师:祝昌宇姓名:蒋俊实验名称:算术逻辑运算单元实验学号:312011*********实验日期:2013-12-15一、目的1. 掌握简单运算器的数据传输方式2. 掌握74LS181的功能和应用二、实验原理(1)ALU单元实验构成1、结构试验箱上的算术逻辑运算单元上的运算器是由运算器由2片74LS181构成8字长的ALU 单元。
2、2片74LS373作为2个数据锁存器(DR1、DR2),8芯插座ALU-OUT作为数据输入端,可通过短8芯扁平电缆,把数据输入端连接到数据总线上。
3、运算器的数据输出由一片74LS244(输出缓冲器)来控制,8芯插座ALU-OUT作为数据输出端,可通过短8芯扁平电缆把数据输出端连接到数据总线上。
(2)ALU单元的工作原理数据输入锁存器DR1的EDR1为低电平,并且D1CK有上升沿时,把来自数据总线上的数据打入锁存器DR1。
同样,使EDR2为低电平,并且D2CK有上升沿时,把来自数据总线上的数据打入锁存器DR2。
算术逻辑运算单元的核心是由2片74LS181构成,它可以进行2个8位二进制数的算术逻辑运算,74LS181的各种工作方式可通过设置其控制信号来实现(S0、S1、S2、S3、M、CN)。
当实验者正确设置了74LS181的各个控制信号,74LS181会运算数据锁存器DR1、DR2内的数据。
由于DR1、DR2已经把数据锁存,只要74LS181的控制信号不变,那么74LS181的输出数据也不会发生改变。
输出缓冲器采用74LS244,当控制信号ALU-O为低电平时,74LS244导通,把74LS181的运算结果输出到数据总线;ALU-O为高电平时,74LS244的输出为高阻。
图1 算术逻辑单元原理图三、使用环境计算机组成原理实验箱四、实验步骤(一).逻辑或运算实验1.把ALU-IN(8芯的盒型插座)与CPT-B板上的二进制开关单元中J1插座相连(对应二进制开关H16~H23), 把ALU-OUT(8芯的盒型插座)与数据总线上的DJ2相连。
计算机组成原理实验报告_32位ALU设计实验
实验三32位ALU设计实验一、实验目的学生理解算术逻辑运算单元(ALU)的基本构成,掌握Logisim 中各种运算组件的使用方法,熟悉多路选择器的使用,能利用前述实验完成的32位加法器、Logisim 中的运算组件构造指定规格的ALU 单元。
二、实验原理、内容与步骤实验原理、实验内容参考:1、32位加法功能的原理与设计1)设计原理1,被加数A(32位),2,被加数B(32位),3,前一位的进位CIN(1位),4,此位二数相加的和S(32位),5,此位二数相加产生的进位COUT(1位)。
要实现32位的二进制加法,一种自然的想法就是将1位的二进制加法重复32次(即逐位进位加法器)。
这样做无疑是可行且易行的,但由于每一位的CIN都是由前一位的COUT提供的,所以第2位必须在第1位计算出结果后,才能开始计算;第3位必须在第2位计算出结果后,才能开始计算,等等。
而最后的第32位必须在前31位全部计算出结果后,才能开始计算。
这样的方法,使得实现32位的二进制加法所需的时间是实现1位的二进制加法的时间的32倍。
2)电路设计32位加法功能2、32位减法功能的原理与实现1)变减法为加法的原理1.在Y引脚处使用求补器(32位),即可变减法为加法2.用构造好的32位加法器。
Y各位取反,C0取1,即可达到减法变加法。
无符号数的减法溢出,带加减功能的ALU的进位取反后表示,有符号数的减法溢出,仍然用最高位和符号位是否相等来判断2)电路设计32位减法功能3、加减溢出检测的设计(不考虑乘除法)1)有符号数溢出的设计有符号数溢出的设计2)无符号数溢出的设计无符号数溢出的设计4、移位的原理与设计1)逻辑移位逻辑移位2)算术移位算术移位5、逻辑运算功能的原理与设计2)与、或、异或、或非逻辑6、大于、等于、小于功能设计大于、等于、小于功能设计7、AluOP的控制原理与设计1)原理:AluOP的控制原理与设计8、总电路设计图算术逻辑运算单元ALU三、实验结论及分析(实验完成功能情况、存在问题分析或改进思路、自己的心得体会等。
《计算机组成原理》实验一报告模板
《计算机组成原理》实验报告学院:信息学院专业:班级学号:学生姓名:实验日期:指导老师:成绩评定:五邑大学信息学院计算机组成原理实验室实验一一、实验名称:运算器实验二、实验目的:1、掌握运算器的组成及工作原理;2、熟悉ALU执行算术运算与逻辑运算的具体实现过程;3、掌握ALU 算术与逻辑运算的控制方法4、了解多片ALU的组合扩展功能和进位链的实现三、实验内容:1、两16位操作数的算术运算及进位影响2、两16位操作数的逻辑运算及进位影响3、不同控制组合下的算术与逻辑运算的输出结果四、实验设备:EL-JY-II型计算机原理实验系统五、实验步骤:1、在系统断电的情况下,按实验指导书接线图完成本次实验的接线;2、系统上电,拨动清零开关,系统清零;3、从数据输入开关电路输入第一个16位数据,开放数据总线,使数据进入暂存寄存器1;4、从数据输入开关电路输入第二个16位数据,开放数据总线,使数据进入暂存寄存器2;5、关闭数据输入开关,开启ALU输出,检查两个16位数据正确与否,有错通过步骤3改正;6、设置方式控制M=0,拨动功能选择端S3,S2,S1,S0 进行算术运算,记录ALU输出结果;7、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成;8、设置方式控制M=1,拨动功能选择端S3,S2,S1,S0 进行逻辑运算,记录ALU输出结果;9、重复步骤6,直到S3,S2,S1,S0所有组合(16种)被完成;10、对实验结果进行检查,如有错误,找出原因,重做实验,直到正确为止。
六、实验结果整个实验记录的实验结果如下:七、分析讨论M是算术与逻辑运算的选择端决定了ALU 进行那类运算,S0, S1,S2,S3是功能选择控制端,决定是做加、减、逻辑与、逻辑或、逻辑异或等运算,表一的实验结果与手工验算完全一致,从而验正了整个ALU的算术/逻辑运算功能和进位处理功能。
灵活运用S0,S1,S2,S3的不同组合可以实现许多其它功能,如本ALU虽然没有求补功能但可以通过取反加1完成求补运算,向左移位的实现可采用自身相加(A+A=2A),此外选择M=1,S3S2S1S0=1111或S3S2S1S0=1010将操作数A或B可以直接送到ALU的输出,这样可以直接验证输入数据是否正确。
计算机组成原理实验报告算术逻辑单元ALU实验(源代码全)
计算机组成原理实验报告算术逻辑单元ALU实验(源代码全)算术逻辑单元ALU实验⼀. 实验⽬的1、理解算术逻辑单元ALU的⼯作原理。
2、掌握算术逻辑单元ALU的设计⽅法。
3、验证32位算术逻辑单元ALU的加、减、与、移位等基本功能。
4、选定⼏组数据,完成⼏种算术/逻辑运算。
⼆. 实验设备1、 装有Xilinx Vivado的计算机⼀台。
2、LS-CPU-EXB-002教学系统实验箱⼀套。
三. 实验任务1、学习MIPS指令集,熟知指令类型,了解指令功能和编码,归纳基础的ALU运算指令。
2、归纳确定⾃⼰本次实验中准备实现的ALU运算,要求要求⾄少实现5种ALU运算,包含加减运算,其中减法在内部要转换为加法,见表1-1。
表1-1 ALU的控制信号选择端aluc ALU功能3 2 1 00 0 00 0 10 1 00 1 11 0 01 0 11 1 00 1 1 11 1 1 13、根据如图1-1所⽰的结构框图,设计实验⽅案,并⽤Verilog编写相应代码。
4、 对编写的代码进⾏仿真,得到正确的波形图。
5、将以上设计作为⼀个单独的模块,设计⼀个外围模块去调⽤该模块,如图1-2。
外围模块中需调⽤封装好的LCD触摸屏模块,显⽰ALU 的两个源操作数、操作码和运算结果,并且需要利⽤触摸功能输⼊源操作数。
操作码可以考虑⽤LCD触摸屏输⼊,也可⽤拨码开关输⼊。
6、将编写的代码进⾏综合布局布线,并下载到试验箱中的FPGA板⼦上进⾏演⽰。
四. 实验步骤这⾥给出实验代码,具体的实验步骤请看书alu.vmodule alu(a,b,aluc,r,z);input [31:0] a,b;input [3:0] aluc;output [31:0] r;output z;assign r = cal (a,b,aluc);assign z =~|r;function [31:0] cal;input [31:0] a,b;input [3:0] aluc;casex(aluc)4'b0000: cal = a + b;//加4'b0001: cal = a&b;4'b0010: cal = a^b;4'b0011: cal = b<<a[4:0];4'b0100: cal = a-b;4'b0101: cal = a|b;4'b0110: cal ={b[15:0],16'h0};4'b0111: cal = b>>a[4:0];4'b1111: cal = $signed(b)>>> a[4:0];//算术右移endcaseendfunctionendmodulealu-display.v//************************************************************************* // > ⽂件名: alu_display.v// > 描述:ALU显⽰模块,调⽤FPGA板上的IO接⼝和触摸屏// > 作者 : LOONGSON// > ⽇期 : 2016-04-14//************************************************************************* module alu_display(//时钟与复位信号input clk,input resetn,//后缀"n"代表低电平有效//拨码开关,⽤于选择输⼊数input [1:0] input_sel,//00:输⼊为控制信号(alu_control)//10:输⼊为源操作数1(alu_src1)//11:输⼊为源操作数2(alu_src2)//触摸屏相关接⼝,不需要更改output lcd_rst,output lcd_cs,output lcd_rs,output lcd_wr,output lcd_rd,inout[15:0] lcd_data_io,output lcd_bl_ctr,inout ct_int,inout ct_sda,output ct_scl,output ct_rstn);//-----{调⽤ALU模块}beginreg [3:0] alu_control;// ALU控制信号reg [31:0] alu_src1;// ALU操作数1reg [31:0] alu_src2;// ALU操作数2wire [31:0] alu_result;// ALU结果wire alu_z;alu alu_module(alu alu_module(.aluc(alu_control),.a(alu_src1 ),.b(alu_src2 ),.r(alu_result ),.z(alu_z));//-----{调⽤ALU模块}end//---------------------{调⽤触摸屏模块}begin--------------------// //-----{实例化触摸屏}begin//此⼩节不需要更改reg display_valid;reg [39:0] display_name;reg [31:0] display_value;wire [5:0] display_number;wire input_valid;wire [31:0] input_value;lcd_module lcd_module(.clk(clk ),//10Mhz.resetn(resetn ),//调⽤触摸屏的接⼝.display_valid(display_valid ),.display_name(display_name ),.display_value(display_value ),.display_number(display_number),.input_valid(input_valid ),.input_value(input_value ),//lcd触摸屏相关接⼝,不需要更改.lcd_rst(lcd_rst ),.lcd_cs(lcd_cs ),.lcd_rs(lcd_rs ),.lcd_wr(lcd_wr ),.lcd_rd(lcd_rd ),.lcd_data_io(lcd_data_io ),.lcd_bl_ctr(lcd_bl_ctr ),.ct_int(ct_int ),.ct_sda(ct_sda ),.ct_scl(ct_scl ),.ct_rstn(ct_rstn ));//-----{实例化触摸屏}end//-----{从触摸屏获取输⼊}begin//根据实际需要输⼊的数修改此⼩节,//建议对每⼀个数的输⼊,编写单独⼀个always块//当input_sel为00时,表⽰输⼊数控制信号,即alu_control always @(posedge clk)beginif(!resetn)beginalu_control <=12'd0;endelse if(input_valid && input_sel==2'b00)beginalu_control <= input_value[11:0];endend//当input_sel为10时,表⽰输⼊数为源操作数1,即alu_src1 always @(posedge clk)beginif(!resetn)if(!resetn)beginalu_src1 <=32'd0;endelse if(input_valid && input_sel==2'b10)beginalu_src1 <= input_value;endend//当input_sel为11时,表⽰输⼊数为源操作数2,即alu_src2 always @(posedge clk)beginif(!resetn)beginalu_src2 <=32'd0;endelse if(input_valid && input_sel==2'b11)beginalu_src2 <= input_value;endend//-----{从触摸屏获取输⼊}end//-----{输出到触摸屏显⽰}begin//根据需要显⽰的数修改此⼩节,//触摸屏上共有44块显⽰区域,可显⽰44组32位数据//44块显⽰区域从1开始编号,编号为1~44,always @(posedge clk)begincase(display_number)6'd1 :begindisplay_valid <=1'b1;display_name <="SRC_1";display_value <= alu_src1;end6'd2 :begindisplay_valid <=1'b1;display_name <="SRC_2";display_value <= alu_src2;end6'd3 :begindisplay_valid <=1'b1;display_name <="CONTR";display_value <={20'd0, alu_control};end6'd4 :begindisplay_valid <=1'b1;display_name <="RESUL";display_value <= alu_result;end6'd5 :begindisplay_valid <=1'b1;display_name <="ZF";display_value <= alu_z;enddefault:begindisplay_valid <=1'b0;display_name <=40'd0;display_value <=32'd0;endendcaseend//-----{输出到触摸屏显⽰}end//----------------------{调⽤触摸屏模块}end---------------------//endmodulealu_tb.v代码如下:module testbench;// Inputsreg [31:0] a;reg [31:0] b;reg [3:0] aluc;//outputwire [31:0] r;wire z;//Instantiate the Unit Under Test(UUT)alu uut(.a(a),.b(b),.r(r),.aluc(aluc),.z(z));initial begin// Initialize Inputsa=0;b=0;aluc=0;//Wait 100ns for global reset to finish#100;//Add stimulus hereendalways #10 a=$random;//$random为系统任务,产⽣⼀个随机的32位数always #10 b=$random;//#10表⽰等待10个单位时间(10ns),即每过10ns,赋值⼀个随机的32位数 always #10 aluc={$random}%2;//加了拼接符,{$random}产⽣⼀个⾮负数,除2取余得到0或1 endmodulealu.xdc⽂件如下:set_property PACKAGE_PIN AC19 [get_ports clk]set_property PACKAGE_PIN Y3 [get_ports resetn]set_property PACKAGE_PIN AC22 [get_ports input_sel[0]]set_property PACKAGE_PIN AD24 [get_ports input_sel[1]]set_property IOSTANDARD LVCMOS33 [get_ports clk]set_property IOSTANDARD LVCMOS33 [get_ports resetn]set_property IOSTANDARD LVCMOS33 [get_ports input_sel[1]]set_property IOSTANDARD LVCMOS33 [get_ports input_sel[0]]set_property PACKAGE_PIN J25 [get_ports lcd_rst]set_property PACKAGE_PIN H18 [get_ports lcd_cs]set_property PACKAGE_PIN K16 [get_ports lcd_rs]set_property PACKAGE_PIN L8 [get_ports lcd_wr]set_property PACKAGE_PIN K8 [get_ports lcd_rd]set_property PACKAGE_PIN J15 [get_ports lcd_bl_ctr]set_property PACKAGE_PIN H9 [get_ports {lcd_data_io[0]}]set_property PACKAGE_PIN K17 [get_ports {lcd_data_io[1]}]set_property PACKAGE_PIN J20 [get_ports {lcd_data_io[2]}]set_property PACKAGE_PIN M17 [get_ports {lcd_data_io[3]}]set_property PACKAGE_PIN L17 [get_ports {lcd_data_io[4]}]set_property PACKAGE_PIN L17 [get_ports {lcd_data_io[4]}]set_property PACKAGE_PIN L18 [get_ports {lcd_data_io[5]}]set_property PACKAGE_PIN L15 [get_ports {lcd_data_io[6]}]set_property PACKAGE_PIN M15 [get_ports {lcd_data_io[7]}]set_property PACKAGE_PIN M16 [get_ports {lcd_data_io[8]}]set_property PACKAGE_PIN L14 [get_ports {lcd_data_io[9]}]set_property PACKAGE_PIN M14 [get_ports {lcd_data_io[10]}]set_property PACKAGE_PIN F22 [get_ports {lcd_data_io[11]}]set_property PACKAGE_PIN G22 [get_ports {lcd_data_io[12]}]set_property PACKAGE_PIN G21 [get_ports {lcd_data_io[13]}]set_property PACKAGE_PIN H24 [get_ports {lcd_data_io[14]}]set_property PACKAGE_PIN J16 [get_ports {lcd_data_io[15]}]set_property PACKAGE_PIN L19 [get_ports ct_int]set_property PACKAGE_PIN J24 [get_ports ct_sda]set_property PACKAGE_PIN H21 [get_ports ct_scl]set_property PACKAGE_PIN G24 [get_ports ct_rstn]set_property IOSTANDARD LVCMOS33 [get_ports lcd_rst]set_property IOSTANDARD LVCMOS33 [get_ports lcd_cs]set_property IOSTANDARD LVCMOS33 [get_ports lcd_rs]set_property IOSTANDARD LVCMOS33 [get_ports lcd_wr]set_property IOSTANDARD LVCMOS33 [get_ports lcd_rd]set_property IOSTANDARD LVCMOS33 [get_ports lcd_bl_ctr]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[0]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[1]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[2]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[3]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[4]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[5]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[6]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[7]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[8]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[9]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[10]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[11]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[12]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[13]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[14]}]set_property IOSTANDARD LVCMOS33 [get_ports {lcd_data_io[15]}]set_property IOSTANDARD LVCMOS33 [get_ports ct_int]set_property IOSTANDARD LVCMOS33 [get_ports ct_sda]set_property IOSTANDARD LVCMOS33 [get_ports ct_scl]set_property IOSTANDARD LVCMOS33 [get_ports ct_rstn]拨码开关的连接,通过AC22、AD24拨码开关来控制输⼊SRC_1,SRC_2,CONTR的值五.结果记录及分析(1) 仿真波形。
计组实验报告(共10篇)
计组实验报告(共10篇)计组实验报告计算机组成原理实验报告一一、算术逻辑运算器1. 实验目的与要求:目的:①掌握算术逻辑运算器单元ALU(74LS181)的工作原理。
②掌握简单运算器的数据传输通道。
③验算由74LS181等组合逻辑电路组成的运输功能发生器运输功能。
④能够按给定数据,完成实验指定的算术/逻辑运算。
要求:完成实验接线和所有练习题操作。
实验前,要求做好实验预习,掌握运算器的数据传送通道和ALU 的特性,并熟悉本实验中所用的模拟开关的作用和使用方法。
实验过程中,要认真进行实验操作,仔细思考实验有关的内容,把自己想得不太明白的问题通过实验去理解清楚,争取得到最好的实验结果,达到预期的实验教学目的。
实验完成后,要求每个学生写出实验报告。
2. 实验方案:1.两片74LS181(每片4位)以并/串联形式构成字长为8为的运算器。
2.8为运算器的输出经过一个输入双向三态门(74LS245)与数据总线相连,运算器的两个数据输入端分别与两个8位寄存器(74LS273)DR1和DR2的输出端相连,DR1和DR2寄存器是用于保存参加运算的数据和运算的结果。
寄存器的输入端于数据总线相连。
3.8位数据D7~D0(在“INPUT DEVICE”中)用来产生参与运算的数据,并经过一个输出三态门(74LS245)与数据总线相连。
数据显示灯(BUS UNIT)已与数据总线相连,用来显示数据总线上所内容。
4.S3、S2、S1、S0是运算选择控制端,由它们决定运算器执行哪一种运算(16种算术运算或16种逻辑运算)。
5.M是算术/逻辑运算选择,M=0时,执行算术运算,M=1时,执行逻辑运算。
6.Cn是算术运算的进位控制端,Cn=0(低电平),表示有进位,运算时相当于在最低位上加进位1,Cn=1(高电平),表示无进位。
逻辑运算与进位无关。
7.ALU-B是输出三态门的控制端,控制运算器的运算结果是否送到数据总线BUS上。
低电平有效。
计算机组成原理 运算器实验—算术逻辑运算实验
实验报告一、实验名称运算器实验—算术逻辑运算实验二、实验目得1、了解运算器得组成原理。
2、掌握运算器得工作原理.3、掌握简单运算器得数据传送通路。
4、验证运算功能发生器(74LS181)得组合功能.三、实验设备TDN-CM++计算机组成原理教学实验系统一套,导线若干。
四、实验原理实验中所用得运算器数据通路如图1-1所示。
其中两片74LSl81以串行方式构成8位字长得ALU,ALU得输出经过一个三态门(74LS245)与数据总线相连.三态门由ALU—R控制,控制运算器运算得结果能否送往总线,低电平有效。
为实现双操作数得运算,ALU得两个数据输入端分别由二个锁存器DR1、DR2(由74LS273实现)锁存数据。
要将数据总线上得数据锁存到DRl、DR2中,锁存器得控制端LDDR1与DDR2必须为高电平,同时由T4脉冲到来。
数据开关(“INPUTDEVICE”)用来给出参与运算得数据,经过三态(74LS245)后送入数据总线,三态门由SW—B控制,低电平有效。
数据显示灯(“B USUNIT")已与数据总线相连,用来显示数据总线上得内容.图中已将用户需要连接得控制信号用圆圈标明(其她实验相同,不再说明),其中除T4为脉冲信号外,其它均为电平信号。
由于实验电路中得时序信号均已连至“W/RUNIT”得相应时序信号引出端,因此,在进行实验时,只需将“W/R UNIT"得T4接至“STATE UNIT"得微动开关KK2得输入端,按动微动开关,即可获得实验所需得单脉冲.ALU运算所需得电平控制信号S3、S2、S1、S0、Cn、M、LDDRl、LDDR2、ALU-B、SW-B均由“SWITCH UNIT ”中得二进制数据开关来模拟,其中Cn、ALU—B、SW一B为低电平有效LDDR1、LDDR2为高电平有效。
对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。
五、实验内容1.输入数据通过三态门74LS245后送往数据总线,在数据显示灯与数码显示管LED上显示。
计算机组成原理算术逻辑运算实验报告1
福建农林大学计算机与信息学院信息工程类实验报告课程名称:《计算机组成原理》名:姓系:计算机系计算机科学与技术业:专年2007级:级学号:指导教师:讲师职称:日25 月5 年2009.附件二:实验报告实验项目列表格式实验项目列表福建农林大学计算机与信息学院信息工程类实验报告级系:计算机科学与技术 2007计算机系专业:年级:姓名:学号:实验课程:计算机组成原理实验室号:___田实验设备号: 1 实验时间: 2009年5月 4日指导教师签字:成绩:算术逻辑运算实验实验一1.实验目的和要求(1)掌握简单运算器的组成以及数据传送通路;(2)验证运算功能发生器(74LS181)的组合功能。
2.实验原理图1-l 运算器数据通路图实验中所用的运算器数据通路如图1-1所示。
其中运算器由两片74LS181以并/串形式构成8位字长的ALU。
运算器的两个数据输入端分别由两个锁存器(74LS273)锁存,锁存器的输入连至数据总线,数据输入开关用来给出参与运算的数据,并经过一三态门.(74LS245)和数据总线相连。
运算器的输出经过一个三态门(74LS245)和数据总线相连。
数据显示灯已和数据总线相连,用来显示数据总线内容。
图1-2中已将实验需要连接的控制信号用箭头标明(其他实验相同,不再说明)。
其中除T4为脉冲信号,其它均为电平控制信号。
实验电路中的控制时序信号均已内部连至相应时序信号引出端,进行实验时,还需将S3、S2、S1、S0、Cn、M、LDDR1、LDDR2、ALU_G、SW_G各电平控制信号与开关单元中的二进制数据开关进行跳线连接。
其中ALU_G、SW_G为低电平有效,LDDR1、LDDR2为低电平有效。
3.主要仪器设备ZYE1603B计算机组成原理及系统结构教学实验箱一台,排线若干。
4.操作方法与实验步骤1.按图1-2连接实验线路,仔细检查无误后,接通电源。
(图中箭头表示需要接线的地方,接总线和控制信号时要注意高低位一一对应,可用彩排线的颜色来进行区分).图1-2 算术逻辑运算实验接线图2.用输入单元的二进制数据开关向寄存器DR1和DR2置数,数据开关的内容可以用与开关对应的指示灯来观察,灯亮表示开关量为“1”,灯灭表示开关量为“0”。
计算机组成原理实验报告一 算术逻辑运算器
算术逻辑运算器一.实验目的与要求1、掌握算术运算器单元ALU(74LS181)的工作原理。
:试验目的2、掌握简单运算器的数据传送通道。
3、验算由74LS181等组合逻辑电路组成的运算功能发生器运算功能。
4、能够按给定数据,完成实验指定的算术/逻辑运算。
按练习的要求输入数据和完成相应的操作,将实验结果填入表格:试验要求二.实验方案1、按实验连线图接好线,仔细检查正确与否,无误后才接通电源。
2、用二进制数据开关分别向DR1寄存器和DR2寄存器置数。
3、通过总线输出DR1寄存器和DR2寄存器的内容。
4、比较实验结果和理论值是否一致,如果不一致,就分析原因,然后重做。
三.实验结果练习一表1.1.2练习二表1.1.311.1.4表练习四实验结果分析1.实验结果和理论值一致,讲明实验操作过程正确,实验结果准确无误。
?结论2.要有理论基础才可以做好实验的每一步,所以,平时一定要学好理论知识。
?问题与讨论3.就是我们我无论输入什么显示灯在做第二个实验时就遇到了很多问题,我们这个小组,但是还是没办法解决,后来问了老师才知道我们插错线。
都不变,然后我们就互相讨论,实验总结 4.)以前没有做过这种实验,所以实验前我做了充分的预习。
但预习毕竟不是实际操1( 2作,经常会在想实际情况会是什么样,在实际操作过程中我遇到了不少的困难,例如我做第二个实验时就插错线,幸好在老师的帮助下还加上自己的思考,终于把问题解决掉了。
我觉得,实验前老师应该做些示范给我们看,这样我们会学得更快。
(2)在做表1.1.4的实验时,不知道怎样查出S3、S2、S1、S0、M、Cn的值,问周围的同学,他们也不知道。
因为这个很重要,直接影响到后面的实验,所以请教了老师。
经过老师的解释后,我顺利地完成了后面的实验。
5.思考题1)写出本实验中的各控制端的作用。
答:S0 S1 S2 S3(它们共同决定运算器执行哪一种运算)M(决定是算术运算还是逻辑运算). CN(表示有无进位) SW-B(输入三态门控制“INPUT DEVICE”中的八位数据开关D7-D0的数据是否送到数据总线BUS上) ALU-B(输出三态门,控制运算器的运算结果是否送到数据总线BUS)LDDR1 LDDR2 (寄存器,寄存数据)2)在实验中哪些控制端高电平有效,哪些低电平有效?答:高电平有效(LDDR1 LDDR2)低电平有效(CN ALU-B SW-B)3)在实验正常的输入和输出操作中,SW-B和ALU-B为什么不能同时为0的状态?答:ALU-B SW-B都是低电平有效,如果同时为低电平,这将导致数据一边输入一边输出。
计组实验报告
计算机组成原理实验报告一一、算术逻辑运算器1.实验目的与要求:目的: ①掌握算术逻辑运算器单元ALU(74LS181)的工作原理。
②掌握简单运算器的数据传输通道。
③验算由74LS181等组合逻辑电路组成的运输功能发生器运输功能。
④能够按给定数据, 完成实验指定的算术/逻辑运算。
要求: 完成实验接线和所有练习题操作。
实验前, 要求做好实验预习, 掌握运算器的数据传送通道和ALU的特性, 并熟悉本实验中所用的模拟开关的作用和使用方法。
实验过程中, 要认真进行实验操作, 仔细思考实验有关的内容, 把自己想得不太明白的问题通过实验去理解清楚, 争取得到最好的实验结果, 达到预期的实验教学目的。
实验完成后, 要求每个学生写出实验报告。
2.实验方案:1. 两片74LS181(每片4位)以并/串联形式构成字长为8为的运算器。
2. 8为运算器的输出经过一个输入双向三态门(74LS245)与数据总线相连, 运算器的两个数据输入端分别与两个8位寄存器(74LS273)DR1和DR2的输出端相连, DR1和DR2寄存器是用于保存参加运算的数据和运算的结果。
寄存器的输入端于数据总线相连。
3. 8位数据D7~D0(在“INPUT DEVICE”中)用来产生参与运算的数据, 并经过一个输出三态门(74LS245)与数据总线相连。
数据显示灯(BUS UNIT)已与数据总线相连, 用来显示数据总线上所内容。
4. S3.S2.S1.S0是运算选择控制端, 由它们决定运算器执行哪一种运算(16种算术运算或16种逻辑运算)。
5. M是算术/逻辑运算选择, M=0时, 执行算术运算, M=1时, 执行逻辑运算。
6. Cn是算术运算的进位控制端, Cn=0(低电平), 表示有进位, 运算时相当于在最低位上加进位1, Cn=1(高电平), 表示无进位。
逻辑运算与进位无关。
7. ALU-B是输出三态门的控制端, 控制运算器的运算结果是否送到数据总线BUS上。
计算机组成原理实验-运算器实验报告
当A=10000000,B=00110010时
F=01111111
(5)S3S2S1S0=1101时,F=A加1。例如:
当A=00110101,B=00110101时,F=00 Nhomakorabea10110
当A=11100011,B=00100010时
F=11100100
F=00100000,FC灯亮,表示有进位
(3)S3S2S1S0=1011时,F=A减B。例如:
当A=00110101,B=00110101时,
F=00000000
当A=01011011,B=00111010时
F=00100001
(4)S3S2S1S0=1100时,F=A减1。例如:
当A=00110101,B=00110101时,
计算机组成原理实验运算器实验报告基本运算器实验报告运算器的组成部分运算器实验报告运算器及移位实验计算机组成原理实验运算器运算器的主要功能是运算器的主要功能运算器的功能
1.逻辑运算
(1)S3S2S1S0=0000时,F=A,例如:
当A=00010101,B=01101001时
F=00010101;
当A=01011000时,B=01011110时
当A=11000011,B=00111100时
F=00000000
(4)S3S2S1S0=0011时,F=A+B。例如:
当A=00110101,B=11001010时,
F=11111111
当A=01011011,B=11000101时
F=11011111
(5)S3S2S1S0=0100时,F=/A。例如:
F=00011101
当A=01000111,B=00000101时
计算机组成原理实验二算术逻辑运算实验
实验二算术逻辑运算实验一、实验目的(1)了解运算器芯片(74LS181)的逻辑功能。
(2)掌握运算器数据的载入、读取方法,掌握运算器工作模式的设置。
(3)观察在不同工作模式下数据运算的规则。
二、实验原理1.运算器芯片(74LS181)的逻辑功能74LS181是一种数据宽度为4个二进制位的多功能运算器芯片,封装在壳中,封装形式如图2-3所示。
图2-3 74LS181封装图主要引脚有:(1)A0—A3:第一组操作数据输入端。
(2)B0—B3:第二组操作数据输入端。
(3)F0—F3:操作结果数据输入端。
(4)F0—F3:操作功能控制端。
̅̅̅̅̅̅:低端进位接收端。
(5)CC(6)CC4:高端进位输出端。
(7)M:算数/逻辑功能控制端。
芯片的逻辑功能见表2-1.从表中可以看到当控制端S0—S3为1001、M为0、CC̅̅̅̅̅̅为1时,操作结果数据输出端F0—F3上的数据等于第一组操作数据输入端̅̅̅̅̅̅A0—A3上的数据加第二组操作数据输入端B0—B3上的数据。
当S0—S3、M、CC 上控制信号电平不同时,74LS181芯片完成不同功能的逻辑运算操作或算数运算̅̅̅̅̅̅、CC4进位信号低电平有效;减法运算操作时,操作。
在加法运算操作时,CCCC̅̅̅̅̅̅、CC4进位信号无̅̅̅̅̅̅、CC4借位信号高电平有效;而逻辑运算操作时,CC意义。
2.运算器实验逻辑电路试验台运算器实验逻辑电路中,两片74LS181芯片构成一个长度为8位的运算器,两片74LS181分别作为第一操作数据寄存器和第二操作数据寄存器,一片74LS254作为操作结果数据输出缓冲器,逻辑结构如图2-4所示。
途中算术运算操作时的进位Cy判别进位指示电路;判零Zi和零标志电路指示电路,将在实验三中使用。
第一操作数据由B-DA1(BUSTODATA1)负脉冲控制信号送入名为DA1的第一操作数据寄存器,第二操作数据由B-DA2(BUSTODATA2)负脉冲控制信号送入名为̅̅̅̅̅̅̅̅̅̅(ALUTOBUS)低DA2的第二操作数据寄存器。
算术逻辑运算实验报告
算术逻辑运算实验报告算术逻辑运算实验报告一、引言算术逻辑运算是数学中的重要分支,它研究数字和符号之间的关系以及它们之间的运算规则。
在日常生活中,我们经常进行算术逻辑运算,比如加减乘除、逻辑与或非等。
本实验旨在通过一系列实验,探索算术逻辑运算的规律和特点。
二、实验一:加法与减法1. 实验目的通过加法和减法实验,观察数字之间的相互关系,并分析运算规律。
2. 实验步骤首先,我们随机选择两个数字进行加法运算,记录结果。
然后,再选择两个数字进行减法运算,同样记录结果。
3. 实验结果与分析我们发现,在加法运算中,两个正数相加的结果仍然是正数,而两个负数相加的结果仍然是负数。
而正数与负数相加,则会根据绝对值的大小决定结果的正负性。
在减法运算中,两个正数相减的结果可能是正数或零,而两个负数相减的结果可能是负数或零。
正数与负数相减,则会根据绝对值的大小决定结果的正负性。
三、实验二:乘法与除法1. 实验目的通过乘法和除法实验,观察数字之间的相互关系,并分析运算规律。
2. 实验步骤我们选择两个数字进行乘法运算,记录结果。
然后,再选择两个数字进行除法运算,同样记录结果。
3. 实验结果与分析我们发现,在乘法运算中,两个正数相乘的结果仍然是正数,而两个负数相乘的结果则变为正数。
正数与负数相乘,则会根据负数的个数决定结果的正负性。
在除法运算中,两个正数相除的结果仍然是正数,而两个负数相除的结果则变为正数。
正数与负数相除,则会根据负数的个数决定结果的正负性。
四、实验三:逻辑与与逻辑或1. 实验目的通过逻辑与和逻辑或实验,观察逻辑运算的结果,并分析运算规律。
2. 实验步骤我们随机选择两个命题进行逻辑与运算,记录结果。
然后,再选择两个命题进行逻辑或运算,同样记录结果。
3. 实验结果与分析逻辑与运算的结果只有在两个命题都为真时才为真,否则为假。
逻辑或运算的结果只有在两个命题都为假时才为假,否则为真。
五、实验四:逻辑非1. 实验目的通过逻辑非实验,观察逻辑运算的结果,并分析运算规律。
计算机组成原理 运算器实验—算术逻辑运算实验
实验报告一、实验名称运算器实验—算术逻辑运算实验二、实验目的1、了解运算器的组成原理。
2、掌握运算器的工作原理。
3、掌握简单运算器的数据传送通路。
4、验证运算功能发生器(74LS181)的组合功能。
三、实验设备TDN-CM++计算机组成原理教学实验系统一套,导线若干。
四、实验原理实验中所用的运算器数据通路如图1-1所示。
其中两片74LSl81以串行方式构成8位字长的ALU,ALU的输出经过一个三态门(74LS245)和数据总线相连。
三态门由ALU-R控制,控制运算器运算的结果能否送往总线,低电平有效。
为实现双操作数的运算,ALU的两个数据输入端分别由二个锁存器DR1、DR2(由74LS273实现)锁存数据。
要将数据总线上的数据锁存到DRl、DR2中,锁存器的控制端LDDR1和DDR2必须为高电平,同时由T4脉冲到来。
数据开关(“INPUT DEVICE")用来给出参与运算的数据,经过三态(74LS245)后送入数据总线,三态门由SW—B控制,低电平有效。
数据显示灯(“BUS UNIT")已和数据总线相连,用来显示数据总线上的内容。
图中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号外,其它均为电平信号。
由于实验电路中的时序信号均已连至“W/R UNIT”的相应时序信号引出端,因此,在进行实验时,只需将“W /R UNIT"的T4接至“STATE UNIT”的微动开关KK2的输入端,按动微动开关,即可获得实验所需的单脉冲。
ALU运算所需的电平控制信号S3、S2、S1、S0 、Cn、M、LDDRl、LDDR2、ALU-B、SW-B均由“SWITCH UNIT ”中的二进制数据开关来模拟,其中Cn、ALU—B、SW一B为低电平有效LDDR1、LDDR2为高电平有效。
对单总线数据通路,需要分时共享总线,每一时刻只能由一组数据送往总线。
五、实验内容1.输入数据通过三态门74LS245后送往数据总线,在数据显示灯和数码显示管LED上显示。
计算机组成原理实验报告一 算术逻辑运算器
算术逻辑运算器一.实验目的与要求试验目的:1、掌握算术运算器单元ALU(74LS181)的工作原理。
2、掌握简单运算器的数据传送通道。
3、验算由74LS181等组合逻辑电路组成的运算功能发生器运算功能。
4、能够按给定数据,完成实验指定的算术/逻辑运算。
试验要求:按练习的要求输入数据和完成相应的操作,将实验结果填入表格二.实验方案1、按实验连线图接好线,仔细检查正确与否,无误后才接通电源。
2、用二进制数据开关分别向DR1寄存器和DR2寄存器置数。
3、通过总线输出DR1寄存器和DR2寄存器的内容。
4、比较实验结果和理论值是否一致,如果不一致,就分析原因,然后重做。
三.实验结果练习一表1.1.2练习二表1.1.31.实验结果分析●实验结果和理论值一致,讲明实验操作过程正确,实验结果准确无误。
2.结论●要有理论基础才可以做好实验的每一步,所以,平时一定要学好理论知识。
3.问题与讨论我们这个小组,在做第二个实验时就遇到了很多问题,就是我们我无论输入什么显示灯都不变,然后我们就互相讨论,但是还是没办法解决,后来问了老师才知道我们插错线。
4.实验总结(1)以前没有做过这种实验,所以实验前我做了充分的预习。
但预习毕竟不是实际操作,经常会在想实际情况会是什么样,在实际操作过程中我遇到了不少的困难,例如我做第二个实验时就插错线,幸好在老师的帮助下还加上自己的思考,终于把问题解决掉了。
我觉得,实验前老师应该做些示范给我们看,这样我们会学得更快。
(2)在做表1.1.4的实验时,不知道怎样查出S3、S2、S1、S0、M、Cn的值,问周围的同学,他们也不知道。
因为这个很重要,直接影响到后面的实验,所以请教了老师。
经过老师的解释后,我顺利地完成了后面的实验。
5.思考题1)写出本实验中的各控制端的作用。
答:S0 S1 S2 S3(它们共同决定运算器执行哪一种运算)M(决定是算术运算还是逻辑运算). CN(表示有无进位) SW-B(输入三态门控制“INPUT DEVICE”中的八位数据开关D7-D0的数据是否送到数据总线BUS上) ALU-B(输出三态门,控制运算器的运算结果是否送到数据总线BUS)LDDR1 LDDR2 (寄存器,寄存数据)2)在实验中哪些控制端高电平有效,哪些低电平有效?答:高电平有效(LDDR1 LDDR2)低电平有效(CN ALU-B SW-B)3)在实验正常的输入和输出操作中,SW-B和ALU-B为什么不能同时为0的状态?答:ALU-B SW-B都是低电平有效,如果同时为低电平,这将导致数据一边输入一边输出。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1、74LS181封装图
A0~A3:第一组操作数据输入端
B0~B3:第二组操作数据输入端
F0~F3:操作结果数据输出端
S0~S3:操作功能控制端
Cn:低端进位接受端
Cn4:高位进位输出端
M:算术/逻辑功能控制端
2、74LS181逻辑功能控制表
3、算术逻辑运算部件原理图
四、实验结果记录
1、连线准备
(2)在输入数据的开关上拨好输入数据代码,如“00010001”
(3)把输入控制信号IO-R开关拨下成低电平
(4)把第一组数据输入控制信号B-DA1的开关拨动一次,即实现“1-0-1”,产生一个负脉冲,作用是把数据送人第一数据寄存器DA1中
2、数据运算过程
(1)按照不同的算术/逻辑运算功能,拨好S3-S0、M、Ci
(4)把算术逻辑部件(ALU UNIT)的B-DA1、B-DA2、ALU-B手动控制开关单元(MANUAL UNIT)的B-DA1、B-DA2、ALU-B相连接
2、记录结果(包含采集结果前的动作)
1、数据送入过程
(1)把开关IO-R、IO-W、B-DA1、B-DA2、ALU-B拨上,确保为高电平,使这些信号处于无效状态
00100101
00110101
10100111
1100
01101010
01101011
11111111
00110101
10100111
1101
11101100
11101101
01111101
00110ቤተ መጻሕፍቲ ባይዱ01
10100111
1110
10110010
10110011
10110111
00110101
10100111
(2)把ALU-B控制信号开关拨下呈现低电平,这时运算结果送到总线,在总线指示灯上可观察到此数据
3、实验结果记录
DA1
DA2
S3-S0
M=0(算术)
M=1(逻辑运算)
Ci=1
Ci=0
00110101
10100111
0000
00110101
00110110
11001010
00110101
10100111
《计算机组成原理》实验报告
实验名称:算术逻辑运算实验班级:
学号:姓名:
一、实验目的
1、了解运算器芯片(74LS181)的逻辑功能
2、掌握运算器数据的载入、读取方法,掌握运算器工作模式的设置
3、观察在不同工作模式下数据运算的规则
二、实验设备
1、YY—Z02计算机组成原理实验仪一台。
2、排线若干。
3、PC微机一台。
0001
10110111
10111000
01001000
00110101
10100111
0010
01111101
01111110
10000010
00110101
10100111
0011
11111111
00000000
00000000
00110101
10100111
0100
01000101
01000110
1111
00110100
00110101
00110101
五、实验总结与心得体会
(1)在连线时一定要非常仔细小心,一旦连错的话,实验根本无法进行
(2)通过这次实验使自己对计算机内的算术/逻辑运算有了更进一步的了解
1000
01011010
01011011
11101111
00110101
10100111
1001
11011100
11011101
01101101
00110101
10100111
1010
10100010
10100011
10100111
00110101
10100111
1011
00100100
00100101
(1)把输入、输出单元(INPUT/OUTPUT UNIT)的IO-R、IO-W与手动控制开关单元(MANUAL UNIT)的IO-R、IO-W相连接
(2)(INPUT/OUTPUT UNIT)的Ai接地
(3)把算术逻辑部件(ALU UNIT)的S3-S0、M、Ci与手动控制开关单元(MANUAL UNIT)的S3-S0、M、Ci相连接
11011010
00110101
10100111
0101
11000111
11001000
01011000
00110101
10100111
0110
10001101
10001110
10010010
00110101
10100111
0111
00001111
00010000
00010000
00110101
10100111