三分频器
音箱元件配件知识点总结
音箱元件配件知识点总结音箱元件配件是构成音箱系统的重要组成部分,它们的质量和性能直接影响着整个音箱系统的音质和效果。
对于音箱爱好者和从业人员来说,了解音箱元件配件的知识是非常重要的。
本文将从音箱元件配件的基本原理、类型、选购和维护等方面进行总结,希望能帮助读者更好地了解和应用音箱元件配件。
一、音箱元件配件的基本原理1. 音箱元件配件的作用音箱元件配件是音箱系统的重要组成部分,主要包括音箱箱体、喇叭单元、分频器、线材、声学棉等。
它们各自承担着不同的功能,共同构成了完整的音箱系统。
1)音箱箱体:用来固定和保护喇叭单元,同时起到隔音和散射的作用,对音箱系统的声音特性有很大的影响。
2)喇叭单元:是音箱系统的声音发声装置,主要负责将电信号转换成机械振动,产生声音。
3)分频器:用来将音频信号分成不同频段,分别送到对应的喇叭单元,以实现不同频段的音频处理。
4)线材:用于连接各种音频设备,传输音频信号。
5)声学棉:用于吸收和隔离声音,在音箱系统中起到调整音响效果的作用。
2. 音箱元件配件的工作原理不同的音箱元件配件有着不同的工作原理,下面简单介绍一下它们的工作原理:1)音箱箱体的工作原理:音箱箱体通常采用压音技术,通过合理的箱体结构设计和选择合适的材料,可以有效地减少共振,提高箱体的结构刚度和密封性,从而减小箱体的声学失真。
2)喇叭单元的工作原理:喇叭单元采用电磁感应原理,当有音频信号输入时,由音圈和磁铁组成的振动系统将电信号转换成磁场效应,驱动锥形振膜产生机械振动,进而产生声音。
3)分频器的工作原理:分频器采用电子滤波原理,对输入的音频信号进行频率分割,分别输出不同频段的音频信号给对应的喇叭单元,使得不同频段的音频信号得到合理的处理和放大。
4)线材的工作原理:线材主要有传输音频信号的作用,其工作原理主要是通过导体传导电流的方式将音频信号从音源传输到喇叭单元。
合理选用高品质的线材可以减小传输损耗和提高音质。
5)声学棉的工作原理:声学棉可以有效地吸收和隔离声音,减小音响系统中的共振和回声,对声音特性的调整起到很大的作用。
Hi-Fi三路有源分频器
Hi-Fi三路有源分频器明日一日路有源分频器此立体声三路有源分频器与三路扬声器系统配用,可避免无源分频网络的缺点,使扬声器获得最佳的功率电平.什么是有源分频器?为什么需要有源分频器绝大多数H1~F1高保真音响爱好者都知道分频.即在2路和3 路扬声器系统中均含有一种无源网络.用其将音频频谱分为二个频段(对于2路扬声器系统)或三个频段(对于3路扬声器系统).无源分频器用电感,电容和电阻将音频分成各个频段,并将相应的音频信号电平馈送到各个扬声器驱动级.例如,低音扬声器通常比中音和高声扬声器的灵敏度低,所以,输入中音和高音扬声器的信号需作相应衰减,这样,三个扬声器的整体输出才相同.在较高档的扬声器系统中,分频器通常很复杂,要求也很高.因常要衰减中音器的功率.此口陈伟鑫间的一个复杂网络,这就意味着有一定的插入损耗.而这对于大家都需要的低音影响会很大,对中音和高音能清晰地播放,其影响也较为明显.凡此种种,都是因为采用了无源的方案.在.有源系统中,省掉了无源分频器,并用电子学的方法将左,右声道各自分为三个频段:低音,中音和高音.这就是.有源分频器要完成的任务.有源分频器的输出信号馈入六个独立的放大器.以驱动每个音箱中的低音,中音和高音扬声器单元.整个系统的构成如图l所示.总之,这里要比常见的系统多用几个放大器.但也给你带来更大的灵活性.更为有利的是.你可以得到一个性能更佳,功率更大的音响系统.有源分频法也意味,日B1^H-汉L1个u/b1OIlIJ上=i?/.1毋高通和高音信号.实际上就意味着要浪费放大厂卜功放卜_.外,无源分频器是插在扬声器和放大器之带通厂-l\功放卜_.口=低通LL——,I功放卜CD机或其他_—前置放大器,I立体声信号源及控制单元高通R.R,-一II功放卜II带通几卜功放卜低通__,卜_功放卜口=2006年第7期3路分频器左声道高音左声道中音左声道低音右声道高音右声道中音低音三图2三路有源分频器信号处理电路(左声道)着你可以在同一系统中混用4Q和8Q扬声器,电平匹配很容易.没有功率浪费.一,有源分频器这里介绍的有源分频器可装入一个单独的机箱内,其面板上只有电源开关.此分频器未设用户控制,既没有用来改变分频频率的开关.也没有输出信号的外部电平控制.要改变对扬声器的激励,就必须调整驱动放大器的音量控制.在后面板上,有四对RCA插口,一对用于立体声信号输入.另外三对则分别用于立体声的低音,中音和高音信号的输出.后面板上还有一个NEC电源插座和一个接在电源变压器初级电路的保险丝盒.在机箱内.全部电路均装在一块尺寸为219mmX99mm的印刷电路板上.一组RCA输入和输出插座亦装在其上.唯一的外部接线是环形电源变压器的次级与电路板的连接线.二,电路介绍分频器电路如图2.由于左,右两声道电路相同.这里仅考虑左声道.电源电路也装在印刷电路板上.如图3N示.总的看来,左声道用了12个运算放大器,即三个左声道左声道中音输出左声道低音输出TL074(四FET输入运放集成块).IC1a,IC1b,IC5a和IC5b用作输入或输出缓冲器,而其余8个运放则用作L1nkwr1te—Ri1ey有源滤波器,此滤波器的斜率为l2dB/倍频程.在每~种情况下.都由两个12dB/倍频程滤波器串联.以给出总的滤波器斜率24dB/倍频程.这比无源分频器通常所用的斜率要陡得多.所有这些滤波器的通带内电压增益为1.三,低通,高通在作进一步探讨之前.这里将对几个初学者常会发生混淆的术语加以说明.即所谓低通,高通和带通.一个低通滤波器允许低频信号通过.而阻止较高频率的信号.因此,一个驱动低音扬声器的电路通常称为低通滤波器.因为这类电路的驱动信号频率约低于2OOHZ.类似地.一个高通滤波器只允许高频信号通过,而阻止低频信号通过.因此,馈送信号至高音扬声器的这部分分频网络则称为高通滤波器,即使其组成仅仅是一个电容器.如果将一个高通滤波器和一个低通滤波器串联,则其组合将只允许一个频带内的信号通过,因此,我们称其为带通滤波器.在这里的有源分频网络中,带通滤波2oo6年第7期器用于中频输出.我们还应当了解滤波器术语中的截止频率和滤波器斜率.本电路所用的滤波器具有12dB/倍频程的衰减:这就是滤波器的斜率.截止频率是信号输出较额定电平小3dB处的频率.例如,在一个低通滤波器中,可以有一个1kHZ的截止频率(一3dB点),而从此处起,滤波器的斜率为12dB/倍频程.在理论上,这就意味着在2kHz处(即是1kHz的一个倍频)频率响应为一15dB在实际中可能没有这么精确.此电路中,所用的滤波器为L1nkwr1te-Ri1ey结构,且用了八个这类的滤波器,四个是高通,四个是低通,这仅仅是一个声道.每个滤波器由一个接成电压跟随器的运放和前置的两个RC网络共同构成.前已指出,对于每个高通和低通滤波器.均用2个l2dB/倍频程的滤波器串联而成,使其总的衰减为24dB/倍频程(4阶).基本滤波器的结构如图4所示.图中还给出了分频点的计算公式.在此处特定情况下.分隔频率在-6dB点,其原因是本设计中每级滤波电路由两个滤波器级联而成(2x3dB=6dB).注意,在低通滤波器中图示的电容值为C和2C.而在高通滤波器中,所用的电阻的阻值为R和2R(参见图4).在图2所示主电路中.可以注意到2C元件实际上是两个等值电容的并联,这是因为很难找到一个电容器,其值正好是另一个电容的两倍.而在另一方面.找电阻要容易得多,所以R用的是10kQ电阻,2R用的是20kQ 电阻.现在让我们再回来讨论图2的电路.到左声道的输入信号是经由一RC滤波器馈入的.该滤波器的滚降频率为100kHZ,然后,信号进入运放IC1a,这里IC1a被接成单位增益缓冲器(又称电压跟随器).IC1a的输出推动两个由IC1d和IC1C级联而成的低通滤波器.以及由IC3a和IC3d级联而成的两个低通滤波器.这里的低通和高通滤波器的截止频率均设定在5.1kHZ.第二个高通滤波器的输出(IC1C)馈至电平控制器VR1,然后再送至IC1b,而IC1b被接成增益为2的同相放大器.IC1b提供左声道的高音输出.因此,高音扬声器仅得到高于5kHz的频率.四,带通低通滤波器IC3d的输出馈至由IC3c和IC3b构成的高通滤波器,这两个高通滤波器的截止频率均为239HZ.高通滤波器IC3b的输出则馈至音量控制微调电位器VR2,然后进入增益为2的运放IC5a.这样就获得了左声道的中频段输出激励.其频带范围为239HZ至5.1kHZ.由图2可知,运放IC3d不但驱动高通滤波器IC3c和IC3b,同时还驱动由IC5d和IC5c构成的串联低通滤波器,而这两个低通的截止频率也是239HZ.IC5C的输出加至微调电位器VR3,然后又馈入增益为2的运放IC5b. 这样就得到了频率低于239Hz的低音左声道输出信号. 各级的所有输出在分频点上是同相的.在交叉频率处的电压增益各级均为一6dB(即为基准电平的一半). 因此,当高,中,低三频段的频响曲线加在一起时,即可获得总增益为1的极其平坦的频率响应.由图5N示的频响曲线可以看出此有源分频器的性能是多么良好.图中画出了三种滤波器(低通,高通和带通)的频响曲线,顶端便是总频响曲线.图8给出了相应的加法电路,仅供有兴趣的读者参考.图3所示为电源电路,这里用了一个20V A的环形电源变压器,二个次级的输出均为15V.双15V输出用来驱动桥式整流器(D1~D4),再经两个1000F的电容滤波,就获得了未经稳压的直流双电源,其值约为±22V.接着又馈入稳压集成块REG1和REG2.以产生稳定的双二r一.一一l叶.,叶VV叶一lIkInlJTl一一一lLI—TIII1I'I…CND…l'固:中:}15V:1O00pF1OOpF一100nFx7+15V.25,x225VWx2一..GNDlNI:}15V'-上'L上一I100nFx7II—一0rl...…1.一1二二__J1一l'',uu0l一一一一20o6年第7期图电源电路—————————————1■————————————一R..卜……辞滤波器Od8m10.Oo00.0—10.0o一-20.0o馏霉-30.0o—4J0.0o-50.0o通滤波器图4低通和高通痣波器基本结构月I)总-牲,,一一,,{::-●--)l'|..I\\|l.|'I\|I1/~I\『J/1『/r1Il高互\确匝/酗互l●J1010o1k]Ok10ok频率(1{z】图5三个滤波器的频响曲线总特性十分平坦电源±15V.每路电源均有一个1OOp.F电容器和7个100nF多层陶瓷电容器构成的旁路电容.这些电容器均安装在印刷电路板上.五,制作如前所述.此有源分频器的全部电路均安装在一块尺寸为219mmx99mm的单面印刷电路板上,因此,电路结构简单直观.但若你希望自行调整交叉频率,电路会稍复杂.如需这样做,可从表1中选取相应的元件值. 例如.如果你决定将高音交叉频率选在3kHz左右,则查表1,从表的右边一列可得310O的交叉频率,再从第1,第2列得R和C的值.实际上要改动的就是电容和电阻.即在高通和低通滤波器中与IC1和IC3并联的2.2I'IF电容器,现在必须改为3.3I'IF,而相关的10kQ电阻则需增加到11kQ.20kQ增加到22kQ.注意.与高音扬声器配用的高通滤波器(IC3a和IC3d)和与中频扬声器配用的低通滤波器(IC3a和IC3d)必须有绝对相同的截止频率,否则就不能获得完全平坦的频率响应.类似地.如果需要将低音截止频率改到约35OHz,则可由表1右边第3列查得最接近的347Hz,然后由第1列和第3列相应查得R的数值为12kQ,2R为24kQ,由第2列得C的值为27i'iF.当然.你也可以参考有关资料或教科书自行设计计算.当交叉频率已经决定后,即可开始装配,首先检查印刷电路板,仔细查看板上电路有无短路,开路等.检查时应对照图6给出的印刷电路板装配图.然后安装全部电阻,接着安装电容器和多圈微调电位器.应保证电解电容按正确的定位进行安装.双极电解电容无极性,可按任一方向安装.在理想条件下.全部滤波器电路都用精度为1%的电容.如有困难,可以取出100个所需值的电容.然后用一电容表.或万用表的电容挡,选取20个最接近标称值的电容.二个直流稳压器可平放在印刷电路板上.但需注意不能互换,否则,可能使其损坏.最后,安装运放和RCA插座.至此,电路板安装完工.现在,即可接线至电源变压器,并装箱,具体可参阅图7.要特别注意电源接线,全部接线要加热缩套管,并用电缆夹固定引线,具体如图所示.另外,保险丝盒必须用市电保安型的.六,电压检查接下来可将印刷电路板暂时装入机箱,加电,用数字万用表检查已稳压的双电源.其值应为±15VDC.再检查每个TL074的引脚4,其上应有±15V,而每个集成块的儿脚应有一15V.现在可轻触每个集成块,看其是否发热——应当全是冷的.2006年第7期图6元件配置图下一步是用各个微调电位器来调整整个电路.调整过程很简单.只需将每个输出级在其通带内的增益调至1(即单位增益).这可以在三个频率处进行,例如,低音在100Hz,中音在1kHz.而高音则在12kHz.这时.需要有一个音频振荡器,还要一个交流频响达20kHz或更高的数字万用表.将音频振荡器接至一个声道的RCA输入连接器.其频率设定为100Hz,1kHz或12kHz,具体取决于要调试的频段.振荡器的输出电平设定为1Vs.现在测量所调试级输出端上的电平.对于高音输出,用10kHz,并调节微调电位器VR1(左声道)或VR4(右声道),使在输出端子上获得1V的信号电平.类似地,对于中音(中频段),用1kHz信号,调VR2(左声道)或VR5(右声道),使其输出端子上获得1Vs的信号电平.最后,对于低音,用100Hz,并调VR3(左声道)或VR6(右声道).调试输出电压完毕后,现在要做的就是整理机箱内部接线.准备将本装置接至放大器.七,功率放大器我们的H1一F1三路有源分频器现在已经制作完成了(见题图),要真正体验其实际效果必须与功率放大器配用,这里需要六个放大器,高音,中音,低音各一个,因为是立体声,为双声道,所以还得乘2.那么,究竟需要用什么样的功率放大器呢典型的情况是,低音放大器所需的功率为中音和高音放大2006年第7期带指示的电源开关图7接线图高音输人中音输入低音输入图8加法器电路输出器输出功率的二倍.为什么呢原因很简单,因为低音扬声器的灵敏度比较低.所以,如果需要为你的三路扬声器系统每个声道配置IOOW~,则还需为低音扬声器配置两个1OOW放大器(一个声道一个),当然,你也可以将两个为中音和高音配置的功率放大器改为5OW.这样,撤下的放大器可以用于维修,随时可以替换功率不足"的现场放大器.八,接入系统本装置可以方便地接入音响系统.其步序很简单,首先将3路有源分频器的立体声输出接至相应的低音,中音和高音立体声放大器的输入端,然后将各放大器的输出直接接至音箱内相应的各个扬声器.当然,在音箱内原有的无源分频网络必须拆开,同时,在音箱背面另外再装两组接线端子. 所有放大器的音调控制十分平整(虽然对高,中,低音调的嗜好不尽相同, 但高音控制决不会过多影响低音放大器,低音控制也决不会影响高音放大器).最后.音量控制可以分别调节,以获得低,中,高扬声器之间的最佳平衡.附:技术特性电压增益:1(单位增益)频率响应:10Hz至20kHz频段内为±1%(见图5)滤波器衰减斜率:24db/倍频程总谐波失真:在1V输出时为0.003%(典型值)信噪比:对于1V输出在22Hz至22kHz频段内,未加权时为-94dB声道隔离:在10Hz至20kHz频段内,通常好-t--lOOdB输入阻抗:47kQ输出阻抗:小-t-200~囫表1:R,C值RC2R交叉频率(kQ)(nF)(kQ)(Hz)15473O16O15393O1921247242OO11472221815333O2271O472O23912392424O15273O278 1233242841O392O289 11332231O 7.54715319 15223O341 1O332O341 122724347 112722379 7.53915385 1O272O417 122224426 7.53315455 1122224651O222O512 7.52715556 7.52215682 154.73O1596 153.93O1924 124.7241995 114.7222177 153.33O2274 1O4.72O2394 123.9242405 113.9222623 152.73O2779 123.3242842 1O3.92O2886 113.32231OO152.23O3410 1O3.32O3410 122.7243473 112.7223789 7.53.9153848 1O2.72O4168 122.2244263 7.53.3154547 112.222465O 1O2.22O5115 7.52.7155558 7.52.2156821 2o嘶年第7期\_●L。
汽车音响系统基本构成知识
汽车音响系统基本构成知识汽车音响系统基本构成知识在这个追求个性化的时代,汽车改装已经不是什么新鲜事,它能彰显车主独特个性,满足不同人们的审美需求。
汽车改装包括车身改装、车灯改装、动力系统改装、刹车系统改装等等。
下面是店铺为大家分享汽车音响系统基本构成知识,欢迎大家阅读浏览。
汽车音响的基本构成一、音源目前国内汽车音响大部分都于卡带、CD、VCD、MP3、MD、DVD等六种机型作为音源部份。
其中CD机的音质相对比较纯:MP3和MD机的容量相对比较大;DVD机的图象相对比较清晰。
二、喇叭喇叭是声音表现的终端设备,喇叭对声音的表现有深远的影响。
1、汽车喇叭可以按频响分可以分为高音喇叭,中音喇叭,低音喇叭:(1)高音喇叭①频响范围:2048—20KHZ其中2048-4096HZ聆听感觉为敏锐,4096-8192HZ聆听感觉为清脆、多彩;8192-16384HZ聆听感觉为层次分明;16384-20KHZ聆听感觉为纤细。
②表现特征:指向性强,声音明亮、清晰,层次分明,色彩丰富。
(2)、中音喇叭①频响范围:256-2048HZ其中256-512HZ聆听感觉为有力;512-1024HZ聆听感觉为明亮;1024-2048HZ聆听感觉为透亮。
②表现特征:人声还原逼真,音色干净、有力,节奏性强。
(3)低音喇叭①频响范围:16-256HZ其中16-64HZ聆听感觉为深沉、震撼;16-128HZ聆听感觉为浑厚,128-256HZ聆听感觉丰满。
②表现特性:具有强大震撼感,雄壮有力、丰满深沉。
2、按类型分可以分为套装喇叭,同轴喇叭(1)同轴喇叭,是全频喇叭,特点是全频响应,高音和中底音在同一个轴上,不利声场分布,不是绝对的全频,有些频段会响应欠佳。
(2)套装喇叭高音与中低音分体而且配有分音器,这样会得到更佳的全频响应和声场的设计。
3、低音炮低音炮可分为有源低音炮和无源低音炮(1)有源低音炮:低音喇叭+箱体+功放,一般功率比较少,比较合适对低音要求不高,不想加装功放的车主,而有大功率的有源低音炮。
三分频音箱
《无线电》合订本光盘2003年第9期
波产生两次谐振,从而使音箱的低频下限更低,强化音箱的低频效果。音箱侧面视图如图2所示。
第2页
《无线电》合订本光盘2003年第9期
倒相管可以购买直径为80mm的成品大号倒相管,也可以用直径80mm的PVC工程塑料管截取所需要的 长度。
分频器制作
三分频音箱不仅仅是采用三个扬声器简单组合而成的音箱,三分频音箱不但在设计时要考虑到各 种扬声器的兼容性以及箱体的合理设计,而且,分频器的设计也是关键的一环,这三者缺一不可,否 则,音箱的音质就可能达不到预期效果。
另外由于该音箱箱体尺寸较大为了提高箱体的稳定性在音箱内部加入了一层隔板在制作时应稍微倾斜一些以消除平行共振这层隔板不但可以起到加强筋的作用而且倾斜的木板把箱体内部低音与中高音工作室分开低音与中高音分腔工作将因低音与中高音单元在一起工作而引起的声染色现象降至最低若在这个倾斜的隔板上再加装一只倒相管还可以使低音腔室与中高音腔室形成两个独立的亥姆霍兹共振腔箱内声无线电合订本光盘2003年第9期波产生两次谐振从而使音箱的低频下限更低强化音箱的低频效果
《无线电》合订本光盘2003年第9期
高保真音箱制作实例精选(15)
三分频音箱
科林
前面几期文章中笔者介绍了多款二分频音箱的制作实例,本期应一些读者的要求介绍一款三分频 音箱的制作。
扬声器选择
本着高性价比的原则,本款音箱的扬声器均采用南京电声股份有限公司生产的南鲸牌扬声器。低 音扬声器选用8英寸长冲程石磨强化PP盆低音扬声器,型号为YD200-8XPH;中音选用该厂生产的高档PP 盆6.5英寸扬声器,型号为YD176-8XPH;高音扬声器选用音色柔和、细腻的蚕丝膜球顶高音扬声器,型 号为YDQG20-8GV,该扬声器采用高级透明蚕丝膜,不但音质优美,而且外观也很新颖,并带有网罩, 防止振膜损坏。各扬声器参数见表1。
分频器与喇叭怎么匹配
分频器与喇叭怎么匹配
根据高音喇叭的频率范围和低音喇叭的频率范围来选择的,高低音喇叭单元组合时,为了使他们工作时各负其责;高音单元只发高音部分,低音单元只发低音部分,所以要加一个分频器、选择好分频点,使他们的交叉频率变得比较平坦,这样声音在重放时就变得更加完美,动听。
分频器的话你就直接把所有频率的声音都直接加在了所有的喇叭上,低音喇叭可以承受高音,但是高音喇叭就承受不了低音,声音稍微开大一点就直接烧高音,分频器的原理就是把输入的全频声音分成三段频率:高音、中音和低音,然后再各自接上喇叭,这样声音才会好听。
1、根据音箱喇叭单元的组成确定分频器类型。
音箱喇叭是高低音二分频或者高中低音三分频,就要对应地选择二分频器、三分频器,一些特殊的音箱喇叭设计,比如高、中、中低、低音三分频四单元之类的,可能还需要定制分频器。
2、根据音箱功率确定分频器。
给你最佳的现场感—JBL P6563C三分频套装喇叭测评
高音 头 和 分 频 器
h
“
h
,
N
:
-
. i, 一
.
:
独特 用 心 的接 线 头 设 计 人性 化 的 亮点
.
是 这 款 喇叭 的又
r
k
嘧
少 的散 热 孔
,
确保喇叭 在高温下 稳 定工
灵 敏度的设计
.
.
在实 际 的试 听 中 美式 风 格 的味 道
感快
.
,
还 是 很 明 显 地 听 出带 有
出色
遮 套 三 分 频套 装
一
定 会给你 意 想 不 到 的感 受
_
■■■ 1
w w w
j iu z h o
u a u
to c o r n
9 '
。
在低 频部 分 结 实
这 套 喇叭 在 各 个 频 段上 的表
给 人 相 当 逼 真 的现 场 感
。
有力
,
鼓 点充 满 力度
.
瞬 态 控制 得也 相 当
现十 分 均 衡
.
声 音 的 还 原 也 相 当真 实
。
o
作 为 J B L 推 出 的 为 数 不 多 的 几 救 三 分 频 套 装 喇 叭 中较 高 端 的 疑会 受 到 大部 分 车 主 的喜 爱
。
更 易推动
也 正 是 因 为这个特点
,
这款三 分频
出来 的音 响 效果 让 人 惊軎
听众
.
在音 乐 表 现
在 表 现 欧 美流 行 音 乐 时 场感
。
给 人 有极 佳 的现
.
由远 及 近 的 欢 呼 声 表 现 得 淋 漓 尽 致 个 演唱 会 的舞 台感 觉 展 露 无 遗 眼 睛 如 同 身 临其境 般 的感 觉 分 频 的设 计
音箱结构种类
音响结构组成1、扬声器扬声器有多种分类式:按其换能方式可分为电动式、电磁式、压电式、数字式等多种;按振膜结构可分为单纸盆、复合纸盆、复合号筒、同轴等多种;按振膜开头可分为锥盆式、球顶式、平板式、带式等多种;按重放频可分为高频、中频、低频和全频带扬声器;按磁路形式可分为外磁式、内磁式、双磁路式和屏蔽式等多种;按磁路性质可分为铁氧体磁体、钕硼磁体、铝镍钴磁体扬声器;按振膜材料可分纸质和非纸盆扬声器等。
A、电动式扬声器应用最广,它利用音圈与恒定磁场之间的相互作用力使振膜振动而发声。
电动式的低音扬声器以锥盆式居多,中音扬声器多为锥盆式或球顶式,高音扬声器则以球顶式和带式、号筒式为常用。
B、锥盆式扬声器的结构简单,能量转换效率较高。
它使用的振膜材料以纸浆材料为主,或掺入羊毛、蚕丝、碳纤维等材料,以增加其刚性、内阻尼及防水等性能。
新一代电动式锥盆扬声器使用了非纸质振膜材料,如聚丙烯、云母碳化聚丙烯、碳纤维纺织、防弹布、硬质铝箔、CD波纹、玻璃纤维等复合材料,性能进步提高。
C、球顶式扬声器有软球顶和硬球顶之分。
软球项扬声器的振膜彩蚕丝、丝绢、浸渍酚醛树脂的棉布、化纤及复合材料,其特点是重放音质柔美;硬球顶扬声器的振膜彩铝合金、钛合金及铍合金等材料,其特点是重放音质清脆。
D、号筒式扬声器的辐射方式与锥盆式扬声器不同,这是在振膜振动后,声音经过号筒再扩散出去。
其特点是电声转换及辐射效率较高、距离远、失真小,但重放频带及指向性较窄。
E、带式扬声器的音圈直接制作在整个振膜(铝合金聚酰亚胺薄膜等)上,音圈与振膜间直接耦合。
音圈生产的交变磁场与恒磁场相互作用,使带式振膜振动而辐射出声波。
其特点是响应速度快、失真小,重放音质细腻、层次感好。
2、箱体箱体用来消除扬声器单元的声短路,抑制其声共振,拓宽其频响范围,减少失真。
音箱的箱体外形结构有书架式和落地式之分,还有立式和卧式之分。
箱体内部结构又有密闭式、倒相式、带通式、空纸盆式、迷宫式、对称驱动式和号筒式等多种形式,使用最多的是密闭式、倒相式和带通式。
NE5532_电子分频电路_重低音_高音
NE5532 电子分频电路重低音高音1.我们去音响市场时总能听到一些很强的低音很锐耳的高音,他那音箱也不见得很夸张,老板说那是什么什么功放块的音响,可是当我们好奇DIY的板子时,就算接的喇叭再好也没他那效果,那就困惑了。
我们也挺喜欢买2.1音响,这2。
1又是个啥意思?其实一切源于前级分频(后及分频也行,只是可能分频不是很突出)。
你说这前级分频那个复杂?可不是,只要你会做板子,这个同样可以轻松搞定。
NE5532做的就是不错的分频器了上图为NE5532做成的二阶高通和低通波器,也就我们要的高音和低音前级分频器,对于低音有C6=1.41 4/(2π f R),R=R1=R6=10K,可见改变公式里的参数就可以得到不同的分频点。
就是说低于f(上图大约为250Hz)的波形顺利通过,大于f频率的波形会大大衰减,就是低通。
至于高通,不用说了吧。
那47K 的电阻可以不要,其上的电容电阻可以根据听觉来选取大小,输入端可以加入缓冲级,输出端最好加个后级运放,不然不好去推功放块。
还有中频是不能少的,要是少了中频声音就没那么好听了,这中频怎么做看了上面应该懂了吧。
当然,NE5532换成其他也可以,只要引脚对的上。
2. 如图为三分频电路图,是一个比较经典的三分频电路。
电路元件较为简单。
图2是3分频电路,用JK-FF实现3分频很方便,不需要附加任何逻辑电路就能实现同步计数分频。
但用D-FF实现3分频时,必须附加译码反馈电路,如图2所示的译码复位电路,强制计数状态返回到初始全零状态,就是用NOR门电路把Q2,Q1=“11B”的状态译码产生“H”电平复位脉冲,强迫FF1和FF2同时瞬间(在下一时钟输入Fi的脉冲到来之前)复零,于是Q2,Q1=“11B”状态仅瞬间作为“毛刺”存在而不影响分频的周期,这种“毛刺”仅在Q1中存在,实用中可能会造成错误,应当附加时钟同步电路或阻容低通滤波电路来滤除,或者仅使用Q2作为输出。
D-FF 的3分频,还可以用AND门对Q2,Q1译码来实现返回复零。
最新三分频扬声器系统分频器电感的精确设计
三分频扬声器系统分频器电感的精确设计三分频扬声器系统分频器电感的精确设计1 引言扬声器系统的分频器分为前级分频和功率分频2类。
前级分频是前级电路中由电子元件产生的分频,再由各自的功放分别驱动高﹑中﹑低音扬声器系统,如图(1a)所示,属于小信号有源分频。
而功率分频则是由电感、电容、电阻元件构成的位于功放与扬声器之间的无源分频电路,如图(1b)所示。
采用功率分频的扬声器系统结构简单、成本低,而且又能获得很高的放音质量,因而在现代高保真放音系统中应用最为普遍。
其性能的好坏与扬声器的各项指标以及分频电路、电感元件的性能、精度有密不可分的关系,精确计算电感参数便是成功的关键。
2 对分频器电路、元件的要求(1)电路中电感元件直流电阻、电感值误差越小越好。
而且为使频响曲线平坦最好使用空心电感。
(2)电路中电容元件损耗尽可能小。
最好使用音频专用金属化聚丙烯电容。
(3)使各扬声器单元分配到较平坦的信号功率,且起到保护高频扬声器的作用。
(4)各频道分频组合传输功率特性应满足图2所示特性曲线的要求(P0为最大值,P1为对应分频点f1、f2的值)。
分频点处的功率与功率最大值之间幅度应满足P1(=0.3~0.5)P0的范围。
(5)整个频段内损耗平坦,基本不出现“高峰”和“深谷”。
3 分频电感电容参数值的计算下面以三分频分频器为例说明其参数的计算,如图3所示。
1)计算分频电感L1,L2,L3,L4和分频电容C1,C2,C3,C4。
为了得到理想的频谱特性曲线,理论计算时可取:C1=C4,C3=C2,L1=L3,L4=L2,分频点频率为f1,(f2见图2),则分频点ω1=2πf0,ω2=2πf2。
并设想高、中、低扬声器阻抗均相同为RL。
每倍频程衰减12 dB。
2)实验修正C1,C2,C3,C4,L1,L2,L3,L4的值为精确起见,可用实验方法稍微调整C1,C2,C3,C4,L1,L2,L3,L4的值,以满足设计曲线﹙见图2﹚的要求。
PRS-M1 10寸内置3分频全频扬声器 产品说明书
感谢您购买 产品!请仔细阅读本手册,它将帮助你妥善设置并运行您的系统,使其发挥卓越的性能。
并保留这些说明以供日后参照。
警告:为了降低火灾与电击的风险,请不要将产品暴露在雨中或潮湿环境中。
警告:为了降低电击的风险,非专业人士请勿擅自拆卸该系统。
仅供专业人士操作。
等边三角形中的闪电标记,用以警示用户该部件为非绝缘体,系统内部存在着电压危险,电压。
可能足以引起触电。
可能足以引起触电如系统标有带惊叹号的等边三角形,则是为提示用户严格遵守本用户指南中的操作与维护规定。
注意:请勿对系统或附件作擅自的改装。
未经授权擅自改装将造成安全隐患。
警告:燃不得将明火源(如点的蜡烛)放在器材上面。
1. 请先阅读本说明。
2. 保留这些说明以供日后参照。
3. 注意所有警告信息。
4. 遵守各项操作指示。
5. 不要在雨水中或潮湿环境中使用本产品。
6. 不要将产品靠近热源安装,例如暖气管、加热器、火炉或其它能产生热量的装置(包括功放机 )。
7. 不要破坏极性或接地插头的安全性设置。
如果提供的插头不能插入插座,则应当请专业人员更换插座。
8. 保护好电源线和信号线,不要在上面踩踏或拧在一起(尤其是插头插座及穿出机体以外的部分 )。
9. 使用厂商规定及符合当地安全标准的附件。
10.雷电或长时间不使用时请断电以防止损坏产品。
12. 不要让物体或液体落入产品内——它们可能引起火灾或触电。
13. 请注意产品外罩上的相关安全标志。
. 仅与厂商指定或与电器一同售出的推车、架子、三脚架、支架或桌子一起使用。
推动小车/电器时,应谨防翻倒。
11注意事项产品的安装调试须由专业人士操作。
在使用非本厂规定的吊装件时,要保证结构的强度并符合当地的安全规范。
警告:1扬声器及扬声器系统的产品有限保修期为自正式购买日起的3年。
由于用户不合理的应用而导致音圈烧毁或纸盆损坏等故障,不包含于产品保修项目。
产品吊附件(包括音箱装配五金件和吊挂配件)的有限保修期为自正式购买日起的1年。
音箱三分频跟二分频的区别
喇叭单元喇叭单元起电-声能量变换的作用,将功放送来的电信号转换为声音输出,是音箱最关键的部分,音箱的性能指标和音质表现,极大程度上取决于喇叭单元的性能,因此,制造好音箱的先决条件是选用性能优异的喇叭单元。
对喇叭单元的性能要求概括起来主要有承载功率大,失真低、频响宽、瞬态响应好、灵敏度高几个方面,但要在20Hz-20kHz这么宽的全频带范围内同时很好兼顾失真、瞬态、功率等性能却非常困难,正如道路**,如果管得太宽肯定会顾此失彼,而各管一段就容易得多,喇叭单元也是这个道理,最有效地解决方案就是分频段重放。
为此喇叭厂生产了不同类型的单元,有的只负责播放低音,称为低音单元,播放中音的叫中音单元,高音单元只负责播放高音,这样便可采取针对性的设计,将每种单元的性能都做得比较好。
所以,尽管可以采用一只全频带喇叭来设计音箱,不过出于上述考虑,用多个单元的组合来覆盖整个音频频段的设计方式还是占了绝大多数。
具体用几只单元,取决于音频范围的频率划分方式,如果是简单地分成高音和低音(或中低)两段的二分频音箱,选用一高一低(或中低)两只喇叭就够了;如果是分高、中、低三段的三分频音箱,那么最少也得用三只单元,现在两只低音单元并联工作的设计方式也很流行,这样总的单元数便可能达到四只;有些大型音箱的频段划分得更细,如果再采用单元并联工作的设计,总的喇叭单元数就会更多。
在音箱的资料或说明书上通常有“X路X单元”这样的文字,就是对音箱的分频路数和所用单元总数的具体说明,例如“三路四单元”,表示这是三分频设计的音箱,总共用了四只喇叭单元,其余依此类推。
目前最常见的低音单元和中音单元从换能原理上讲都属于电动式扬声器,它们多采用锥盆状的振膜,因为这形状的振膜设计成熟、性能良好。
振膜材料则多种多样,有传统的纸质振膜,也有高分子合成材料(如聚丙烯)制作的振膜,还有铝、镁等金属材料制作的振膜。
高音单元最常用的是球顶式高音,从工作原理上讲也属于电动式单元。
任意数(整数、小数)分频器
任意数(整数、小数)分频器一、分频原理1.1偶数倍分频偶数倍分频通过计数器计数是很容易实现的。
如进行N倍偶数分频,那么可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2—1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数.以此循环下去.这种方法可以实现任意的偶数分频。
1.2奇数倍分频奇数倍分频通过计数器也是比较容易实现的,如进行三分频,通过待分频时钟上升沿触发计数器进行模三计数,当计数器计数到邻近值进行两次翻转,比如可以在计数器计数到1时,输出时钟进行翻转,计数到2时再次进行翻转。
即是在计数值在邻近的1和2进行了两次翻转.这样实现的三分频占空比为1/3或者2/3。
要实现占空比为50%的三分频时钟,可以通过待分频时钟下降沿触发计数,和上升沿同样的方法计数进行三分频,然后下降沿产生的三分频时钟和上升沿产生的时钟进行相或运算,即可得到占空比为50%的三分频时钟。
这种方法可以实现任意的奇数分频。
归类为一般的方法为:对于实现占空比为50%的N倍奇数分频,首先进行上升沿触发进行模N计数,计数选定到某一个值进行输出时钟翻转,然后经过(N-1)/2再次进行翻转得到一个占空比非50%奇数n分频时钟。
与此同时进行下降沿触发的模N计数,到和上升沿触发输出时钟翻转选定值相同值时,进行输出时钟时钟翻转,同样经过(N-1)/2时,输出时钟再次翻转生成占空比非50%的奇数n分频时钟。
两个占空比非50%的n分频时钟相或运算,得到占空比为50%的奇数n分频时钟。
如图1-1所示,是一个3分频器的仿真时序图。
图1—1 3分频器时序图1.3小数分频1。
3。
1原理小数分频有很多方法,基本原理都是一样,在若干分频周期中,使某几个周期多计或少计一个数,从而在整个周期的总体平均意义上获得一个小数分频比。
设:K为分频系数;N为分频系数的整数部分;X为分频系数的小数部分;M为输入脉冲个数;P为输入脉冲个数;n为小数部分的位数。
50%占空比三分频器的设计方法.
50%占空比三分频器的设计方法(原创)浏览次数:1037 添加时间:2006-04-17 20:47:01本文主要介绍了50%占空比三分频器的三种设计方法,并给出了图形设计、VHDL设计、编译结果和仿真结果。
设计中采用EPM7064AETC44-7 CPLD,在QUARTUSⅡ4.2软件平台上进行。
方法一:时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。
图1:图形设计VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fen3 isport(clkin : in std_logic; --时钟输入qout1 : buffer std_logic;qout2 : buffer std_logic;qout3 : buffer std_logic;clkout : out std_logic --占空比为1/2的三分频输出);end fen3;architecture behave of fen3 isbeginqout3<=qout1 nor qout2;process(clkin)beginif clkin'event and clkin='1' then --在上升沿触发qout1<=qout3;end if;end process;process(clkin)beginif clkin'event and clkin='0' then --在下降沿触发qout2<=qout3;end if;end process;process(qout3)variable tem:std_logic;beginif qout3'event and qout3='1' then --二分频tem:=not tem;end if;clkout<=tem;end process;end behave;图2:编译结果图3:仿真结果方法二:设计两个占空比为1/3的三分频器,分别在时钟输入端的上升沿和下降沿触发,然后两个分频器的输出接一个或门,得到占空比为50%的三分频波形。
三分频器电路
三分频器电路三分频器是一种常用的电路,用于将输入信号分成三个相等的输出信号。
它在很多电子设备中都有广泛的应用,比如音频放大器、通信系统和信号处理器等。
三分频器电路的基本原理是利用滤波器和分频器的组合。
滤波器用于分离不同频率的信号,而分频器则将输入信号分成多个频率相等的信号。
三分频器电路一般由低通滤波器、带通滤波器和高通滤波器组成。
低通滤波器用于传递低频信号,带通滤波器用于传递中频信号,高通滤波器用于传递高频信号。
在三分频器电路中,输入信号首先经过一个低通滤波器。
低通滤波器的作用是将高频信号滤除,只保留低频信号。
然后,低通滤波器的输出信号经过一个带通滤波器。
带通滤波器的作用是将中频信号滤除,只保留高频信号和低频信号。
最后,带通滤波器的输出信号再经过一个高通滤波器。
高通滤波器的作用是将低频信号滤除,只保留高频信号。
三分频器电路的设计需要考虑滤波器的特性和频率范围。
滤波器的特性可以通过选择合适的电容和电感来实现。
频率范围则是根据应用需求来确定的,可以根据需要选择不同的滤波器参数。
三分频器电路的应用非常广泛。
在音频放大器中,三分频器可以将音频信号分成低频、中频和高频三个部分,分别经过不同的放大电路进行放大,然后再合并成一个完整的音频信号。
在通信系统中,三分频器可以将信号分成不同的频率段,分别进行处理和传输。
在信号处理器中,三分频器可以将输入信号分成不同频率的信号,然后进行不同的处理操作。
三分频器是一种重要的电路,它可以将输入信号分成三个相等的输出信号。
它在音频放大器、通信系统和信号处理器等电子设备中都有广泛的应用。
通过合理设计滤波器和分频器,可以实现不同频率信号的分离和处理。
三分频器的应用范围非常广泛,可以满足各种不同的需求。
落地三分频超低音箱
落地式三分频ASW超低音箱的设计与制作1.设计目标随着音源和功率放大器水平的提高,如何得到更好的低频响应成为高保真音箱设计的重要任务。
低频下潜深、全频带频响均衡与优美的音色是我们的追求目标。
通常只有大口径扬声器与大型箱体才能提供更好的低频响应。
但对于家用或不是很大的听音空间,由于大体积音箱不易摆放,现在经常采取的一种方式为一只内置扬声器的带通式超低音箱,即ASW 箱。
ASW箱用来放送下限20Hz~40Hz、上限为100Hz左右的超低音,考虑到频率如此之低的声波其波长超过3m,可以认为没有方向性,往往仅设置一只ASW箱与其他若干只(一般为二至五只)音箱配合放音。
在家庭影院中这种方式得到了普遍的应用,DVD影碟采用的杜比数字环绕声5.1声道中的“.1”声道大都通过ASW箱重放。
然而,这种方式用于高保真放音并不十分理想,其主要原因在于低音绝非完全没有方向性,想一想欣赏交响乐时我们能够感受到低音乐器的定位,就不难理解只放一只ASW箱是不可能带来真正高保真的放音的。
那么,我们只需采用双配置,即两只主音箱各配一只ASW箱就可实现重低音的高保真放音了。
本箱的设计目标为ASW超低音箱与封闭箱组合的一体箱,即一只音箱的一部分是普通封闭箱,用来放送100Hz以上的中低音、中音与高音,而低于100Hz 的音波则通过这只音箱的另一部分——ASW箱来放送。
本设计的一体箱是一种落地式三分频音箱,如果设计得好,将会取得低音失真更小、中低音音色更加连贯优美、音场更加开阔等明显的优势。
但这种方式的设计难度相当大,其原因在于首先ASW箱本身就很难设计。
它是内置扬声器的带通式超低音箱,其频带很窄(小于两个倍频),因此,要想得到更低的下限频率、且在其频带内频响曲线比较平直(不均匀度比较小)确非易事。
更困难的是,ASW 一体箱是将ASW箱与封闭箱结合成一体,如何使两箱之间的灵敏度搭配一致则成为最大的难题。
因此,本设计的关键在于分别为封闭箱与ASW箱选择适当的扬声器并通过精准的箱体设计得到完美的有机结合。
摩雷意蕾三分频喇叭
详细参数:
分频系统
意蕾5
意蕾6
意蕾9
额定阻抗
分频系统额定阻抗4ohm4ohm4ohm持续功率160w200w200w最大瞬间功率1000w1000w1000w灵敏283vlm88db89db91db频响3525000hz2525000hz20025000hz分频点1800hz1800hz1800hz音圈直径低音75mm75mm75mm28mm28mm28mm低音六角技术铝制六角技术铝制六角技术铝制六角技术铝制六角技术铝制六角技术铝制磁铁系统低音海碧丽后循迹海碧丽后循迹海碧丽后循迹双钕硼磁铁双钕硼磁铁双钕硼磁铁单元厚度低音60mm61mm71mm20mm20mm20mm资料提供
28mm
28mm
音圈线
低音
六角技术铝制
六角技术铝制
六角技术铝制
高音
六角技术铝制
六角技术铝制
六角技术铝制
磁铁系统
低音
海碧丽后循迹
海碧丽后循迹
海碧丽后循迹
高音
双钕硼磁铁
双钕硼磁铁
双钕硼磁铁
单元厚度
低音
60mm
61mm
71mm
高音
20mm
20mm
20mm
资料提供:
摩雷意蕾三分频喇叭
型号:意蕾三分频
品牌:摩雷汽车音响
意蕾系列采用了顶尖savvy技术和臻美声音的最终极组合。能精确地再现深沉低音。臻美的技能配置,使意蕾满足了各种高环节的要求。意蕾系列引入了两分频和三分频套装系统,展示了摩雷最好的技术创新。
一种带有二次谐波增强次谐振腔的注入锁定三分频电路[发明专利]
专利名称:一种带有二次谐波增强次谐振腔的注入锁定三分频电路
专利类型:发明专利
发明人:唐路,张宇涵,张有明,唐旭升,许书凝
申请号:CN202210086894.5
申请日:20220125
公开号:CN114513207A
公开日:
20220517
专利内容由知识产权出版社提供
摘要:本发明公开了一种带有二次谐波增强次谐振腔的注入锁定三分频电路,包括:两对互补交叉耦合对管,注入锁定电路、第一输出缓冲电路、第二输出缓冲电路、第一主开关电容阵列、第二开关电容阵列、第三开关电容阵列;由两对互补交叉耦合对管和主谐振腔构成振荡器,在主谐振腔中加入二次谐波增强电感,增强反馈信号中二次谐波的成分;注入锁定电路由差分注入电路和二次谐波增强次级谐振腔构成,提高了注入信号与反馈信号二次谐波的混频效率;本发明采用开关电容阵列调谐的方法,同时改变主次谐振腔的谐振频率,从而进一步提高分频器的分频范围。
本发明同已有的注入锁定三分频器技术相比,可实现更宽的锁定范围,且不会增加芯片面积和直流功耗。
申请人:东南大学
地址:211102 江苏省南京市江宁区东南大学路2号
国籍:CN
更多信息请下载全文后查看。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
上海电力学院VLSI原理和设计报告
题目:三分频器
院系:计算机与信息工程学院
专业:电子科学与技术
年级:2008141班
姓名:王沁学号:20082617 指导老师:赵倩
三分频器
一、实验目的:
1、完成三分频器功能块的行为和结构描述,以及测试程序的编写。
2、熟练掌握VI编辑器,并用VCS调试验证设计程序的正确性。
二、实验要求:
用VI编辑器完成三分频器电路的源程序、测试程序的编写,并用VCS 仿真验证设计的正确性。
三、实验内容和步骤
程序:
module div3(clk,clk_3);
input clk;
output clk_3;
reg [1:0] countp;
reg [1:0] countn;
reg clk_3p;
reg clk_3n;
always@(posedge clk) begin
if(countp<=2'd1) begin
clk_3p<=1'b1;
countp<=countp+2'd1;
end
else if(countp==2'd2) begin
clk_3p<=1'b0;
countp<=2'd0;
end
end
always@(negedge clk) begin
if(countn<=2'd1) begin
clk_3n<=1'b1;
countn<=countn+2'd1;
end
else if(countn==2'd2) begin
clk_3n<=1'b0;
countn<=2'd0;
end
end
assign clk_3=(clk_3p&&clk_3n)?1'b1:1'b0;
endmodule
验证结果正确。
四、实验总结
经过这次实验,我了解了源代码以及测试代码的识别,并且通过VCS仿真可以测试出结果出来,检验其准确性,对三分频器也有了更深的了解。
实验过程中遇到了一些问题,但经过老师的知道还是完成了。