基于单片机实现的音乐播放器设计

基于单片机实现的音乐播放器设计
基于单片机实现的音乐播放器设计

目录

1、设计课题任务、功能要求说明及总体方案介绍

1.1 设计课题任务 (1)

1.2 功能要求说明 (1)

1.3 设计课题总体方案介绍及工作原理说明 (1)

2、设计课题硬件系统的设计

2.1 设计课题硬件系统各模块功能简要介绍 (5)

2.2 设计课题电路原理图、PCB图、元器件布局图 (5)

2.3 设计课题元器件清单 (5)

3、设计课题软件系统的设计

3.1 设计课题使用单片机资源的情况 (7)

3.2 设计课题软件系统各模块功能简要介绍 (7)

3.3 设计课题软件系统程序流程框图 (7)

3.4 设计课题软件系统程序清单 (7)

4、设计结论、仿真结果、误差分析、教学建议等等

4.1 设计课题的设计结论及使用说明 (10)

4.2 设计课题的仿真结果 (10)

4.3 设计课题的误差分析 (10)

4.4 设计体会 (10)

4.5 教学建议 (11)

参考文献 (12)

致谢 (13)

附录 (14)

第一章设计课题任务、功能要求说明及总体方案介绍

1.1 设计课题任务

设计一个具有特定功能的音乐播放器。该音乐播放器上电或按键复位后能自动显示系统提示符“P.”,进入准备工作状态。该音乐播放器可以播放多首音乐,曲目选择可以由键盘控制,曲目名称可以显示在显示器上。

1.2功能要求说明

(1) 该音乐播放器上电后显示系统提示符“P.”。

(2) 在按下第一个键后就播放第一首歌曲,并在显示器上显示“1”。

(3) 在按下第二个键后就播放第二首歌曲,并在显示器上显示“2”。

(4) 在按下第三个键后就播放第三首歌曲,并在显示器上显示“3”。

(5) 在播放音乐的中间能切换歌曲,在放完一首歌后回到起始状态,下一步的动作由人控制。

1.3设计课题总体方案介绍及工作原理说明

本设计由AT89C52 单片机,3*1独立键盘,数码管等构成。总体方案图如图1.1所示

图1.1 总体方案图

(1)总体原理:

乐曲中不同的音符,实质就是不同频率的声音。通过单片机产生不同的频率的脉冲信号,由蜂鸣器放出,就产生了美妙和谐的乐曲。

(2)单片机产生不同频率脉冲信号的原理:

1)要产生音频脉冲,只要算出某一音频的脉冲(1/频率),然后将此周期除以2,即为半周期的时间,利用定时器计时这个半周期的时间,每当计时到后就将输出脉冲的I/O反相,然后重复计时此半周期的时间再对I/O反相,就可以在I/O脚上得到此频率的脉冲。

2)利用8051的内部定时器使其工作在计数器模式MODE1下,改变计数值TH0及TL0以产生不同频率的方法如下:

例如,频率为523Hz,其周期天/523 S=1912uS,因此只要令计数器计时

956uS/1us=956,在每计数956次时就将I/O反接,就可得到中音DO(532Hz)。

计数脉冲值与频率的关系公式如下:

N=Fi/2/Fr

(N:计数值,Fi:内部计时一次为1uS,故其频率为1MHz,Fr:要产生的频率)(3)其计数值的求法如下:

T=65536-N=65536-Fi/2/Fr

计算举例:

设K=65536,F=1000000=Fi=1MHz,求低音DO(261Hz)、中音DO(523Hz)、高音DO (1046Hz)的计数值。

T=65536-N=65536-Fi/2/Fr=65536-1000000/2/Fr=65536-500000/Fr

低音DO的T=65536-500000/262=63627

中音DO的T=65536-500000/523=64580

高音DO的T=65536-500000/1047=65059

(4)每个音符使用1个字节,字节的高4位代表音符的高低,低4位代表音符的节拍,下表为节拍码的对照。但如果1拍为0.4秒,1/4拍是0.1秒,只要设定延迟时间就可求得节拍的时间。假设1/4节拍为1DELAY,则1拍应为4DELAY,以此类推。所以只要求得1/4拍的DELAY时间,其余的节拍就是它的倍数,如下表为1/4和1/8节拍的时间设定。

1/4节拍 1/8节拍

节拍码节拍数节拍码节拍数

1 1/4拍 1 1/8拍

2 2/4拍 2 1/4拍

3 3/4拍 3 3/8拍

4 1拍 4 1/2拍

5 1又1/4拍 5 5/8拍

6 1又1/2拍 6 3/4拍

7 1又3/4拍 7 7/8拍

8 2 拍 8 1 拍

9 2又1/4拍 9 1又1/8拍

A 2又1/2拍 A 1又1/4拍

B 2又3/4拍 B 1又3/8拍

C 3拍 C 1又1/2拍

D 3又1/4拍 D 1又5/8拍

E 3又1/2拍 E 1又3/4拍

F 3又3/4拍 F 1又7/8拍

各调节拍的时间设定

1/4节拍 1/8节拍

曲调值 DELAY 曲调值 DELAY

调4/4 125毫秒调4/4 62毫秒

调3/4 187毫秒调3/4 94毫秒

调2/4 250毫秒调2/4 125毫秒

⑹建立音乐的步骤:

1)先把乐谱的音符找出,然后由上建立T值表的顺序。

2)把T值表建立在TABLE1,构成发音符的计数值放在“SONG”。

3)简谱码(音符)为高位,节拍为(节拍数)为低4位,音符节拍码放在程序的“SONG”处。

简谱对应的简谱码、T值、节拍数

简谱发音简谱码 T值节拍码节拍数

5 低 5SO 1 64260 1 1/4拍

6 低 6LA 2 64400 2 2/4拍

7 低 7SI 3 64524 3 3/4拍

1 中 1DO 4 64580 4 1拍

2 中 2RE 5 64684 5 1又1/4拍

3 中 3M 6 64777 6 1又2/4拍

4 中 4FA 7 64820 7 1又3/4拍

5 中 5SO 8 64898 8 2拍

6 中 6LA 9 64968 9 2又1/4拍

7 中 7SI A 65030 A 2又2/4拍

1 高 1DO B 65058 B 2又3/4拍

2 高 2RE C 65110 C 3拍

3 高 3M D 65157 D 3又1/4拍

4 高 4FA E 65178 E 3又2/4拍

5 高 5SO F 65217 F 3又3/4拍不发音 0

第二章设计课题硬件系统的设计

2.1 设计课题硬件系统各模块功能简要介绍

本设计的硬件系统主要采用以下基本模块来实现,单片机最小系统模块、输入模块、输出模块、电源模块。

1、单片机最小系统模块:包括低功耗、高性能CMOS 8位微控制器AT89S52,复位电路,晶振电路。本模块AT89S52系统控制核心,单片机系统复位又复位电路完成,由外部提供晶振频率。

2、输入模块:本模块用了四个按键,一个复位键,单片机运行期间,利用此键完成复位操作。三个控制键,为独立式键盘,为音乐选择键,按下键一,选中第一首歌,以此类推。

3、输出模块:本模块包括显示区和音乐输出区,显示区由一个数码显示管构成,用来显示选中歌曲的序号。音乐输出区由一个建议的喇叭或分频器构成,用以播放音乐。

4、电源模块:为了节约成本和设计时间,本模块由市面销售的USB接口和USB线构成,没有另外设计编程器了。

2.2 设计课题电路原理图、PCB图、元器件布局图

设计课题电路原理图,见附录

设计课题电路PCB图,见附录

设计课题电路元器件布局图,见附录

2.3 设计课题元器件清单

设计课题元器件清单如表2.1所示

表2.1 设计所用元器件清单

第三章设计课题软件系统的设计

3.1 设计课题使用单片机情况

本设计使用单片机资源情况如下:

P0口输出数码管段选信号,P3.1输出数码管段选信号,晶振11.0592M HZ

P1.0至P1.2为按键接口,P2.1为喇叭或蜂鸣器接口。

3.2 设计课题软件系统各模块功能简要介绍

本设计的软件系统主要采用以下几个基本模块来实现,主程序、定时中断服务程序、键盘扫描程序、显示程序及延时程序。

主程序:主要用于对输入信号的处理,输出信号的控制,对各功能模块的运用于综合。以及将音乐简谱码从表中取出,并将其翻译成对应的频率,延迟对应的时间节拍,并输出给蜂鸣器。

定时中断服务程序:主要用来确定节拍,并完成相应的延时。

键盘扫描程序:主要用来判断是否有键按下并得到相应的键码值。

显示程序:主要用来显示选到歌曲的序号。

延时程序:本程序中有两个延时子程序,一个为去抖动延时程序,另一个为187ms 四分之一拍的基本延时子程序。

3.3 设计课题软件系统程序流程框图

本设计采用汇编语言按模块化方式进行设计,然后通过KEIL软件开发平台将程序转变成十六进制程序语言,接着使用proteous进行仿真,读出显示数据,判断播放的音乐。

主程序流程框图如图3.1所示;

键盘扫描子程序流程框图如图3.2所示。

3.4 设计课题软件系统程序清单

设计课题软件系统程序清单,详见附录一。

图3.1 主程序流程框图

图3.2 键扫子程序流程框图

第四章设计结论、仿真结果、误差分析、教学建议

4.1 设计课题的设计结论及使用说明

本设计为基于单片机的音乐播放器设计。刚开始毫无头绪,跟本不知道音乐是怎么产生的,各种调,节拍的音乐是怎么放出来的,通过查资料,我明白了音乐播放器的基本原理,在我算出所需的T值后我找了几首歌的简谱并将其转化为相应的简谱码,接着我确定了基本设计方案。通过好几个日夜的keil调试,程序终于出来了,但令我失望的是在proteus上仿真时只有喇叭的嘟嘟声而没有我日思夜想的音乐。通过认真思考及仔细盘查终于找出了原因,原来是电路原理图的错误,在更正了电路原理图后,音乐总算是出来了。本设计有三个按键用来选择播放的曲目,一个数码管用来显示所选曲目的序号,按下key1键播放第一首音乐,按下key2键停止播放第一首,开始播放第二首,按下key3键停止播放第二首,开始播放第三首,并且数码管的显示与歌曲同步。这样的结果与设计要求完全相符,设计成功。

4.2 设计课题的仿真结果

当播放器上电的时候显示P点,如图4.1所示;按下一键的时候,显示1,如图4.2所示;按下第二个键时显示2,如图4.3所示,按下第三个键时显示3,如图4.4所示。

图4.1P点图4.2 1 图4.3 2 图4.4 3 4.3 设计体会

通过此次设计,我对音乐播放器播放音乐的原理有了相当深刻的体会,对汇编语言有了更深的了解。其中对子程序的运用有了很深的映像,子程序的灵活运用大大的简化了程序的编写,也使得程序很直观,透彻。通过这2周的设计,我感觉有很

大的收获:首先,通过学习使自己对课本上的知识可以应用于实际,使的理论与实际相结合,加深自己对课本知识的更好理解,同时实习也段练了我个人的动手能力:能够有方向有目的的去查阅资料,增加了许多课本以外的知识。对所学的课程有了进一步的深入了解,能达到学以致用。对我们学生来说,理论与实际同样重要,这是我们以后在工作中说明自己能力的一个重要标准。特别是实物的制作,对我们动手能力是一个很严峻的考验。我的实物最终以失败告终,但我并不后悔,从失败中我学会了很多很多,对我以后的学习和工作将会有很大的帮助。

4.4 教学意见

经过一个学期的单片机学习,在王韧老师详细的教导之下,我掌握了很多单片机学习的宝贵经验,尤其是编程思路和软件的使用方面。思路是编程的灵魂,没有它你的程序很难出来。在编程之前确定好编程思路,编程的过程才会顺畅,程序的质量才会高。在王老师到的课堂中,幽默轻松的教学方式让课堂很活跃,一些亲身的体会让我们对单片机得了解更加透彻。而对我们人生道路的引导更让我们受益匪浅。针对我自己的认识,我有以下几点想对老师说:

(1)关于本专业毕业以后的发展方向,考研方向,就业方面应该具体讲一讲。(2)关于单片机的最新动态,它的升级产品以及可以代替它的产品经常给学生讲讲。(3)回答问题不要老针对那几个熟悉的人,可以直接点学号,给每一个人包括那些胆小的一次表现的机会。

(4)实验课上不要将精力全部倾注于女生身上。

参考文献

【1】谢自美主编. 电子线路设计.试验.测试(第一版). 武汉:华中科技大学出版社,2000.

【2】张毅刚等编著.MCS-51单片机应用设计.哈尔滨:哈尔滨工业大学出版社,2003。【3】康华光主编. 电子技术基础数字部分(第四版).北京:高等教育出版社,2003。【4】康华光主编. 电子技术基础模拟部分(第四版).北京:高等教育出版社,2002。【5】Xie from the U.S. editor in chief. Electronic circuit design. Test. Test (first edition). Wuhan: Huazhong University of Science and Technology Press, 2000.

【6】ZHANG Yi-gang eds. MCS-51 microcontroller applications. Harbin: Harbin Institute of Technology Press, 2003.

致谢

经过3周的忙碌,本次课程设计在几经波折之后总算已经完成,虽然不是特别理想,但在此,我要感谢每一个帮助过我的人。

首先,我要感谢的是我的老师王韧老师。王老师平日里工作繁多,但在我做课程设计的每个阶段,都抽出休息时间来给予我悉心的指导和帮助。可以说,没有王老师的悉心指导和帮助,我是不可能顺利完成我的课程设计的。另外,他的治学严谨和科学研究的精神也是我永远学习的榜样,并将积极影响我今后的学习和工作。同时也要感谢那些在我陷入困境中帮我走出来的兄弟同学们。

总之,感谢每一位关心过我,爱护过我的人。最后,再次感谢我的老师王韧老师。

附录

;基于51单片机的简易音乐播放器,可以播放三个音乐片段,由三个按键控制

ORG 0000H

JMP START

ORG 000BH ;TIMER0中断起始地址

JMP TIMER0 ;跳至TIMER0中断子程序

START: MOV TMOD, #01H ;设TIMER0在MODE1 MOV IE, #82H ;中断使能

LOOP: CLR P3.0 ;开机显示P点

MOV P0, #00001100B

LCALL KEY ;调键扫

LOOP1: MOV A , 20H

START0:CJNE A , #01H , START1

LJMP SONG1

START1:CJNE A , #02H , START2

LJMP SONG2

START2:CJNE A , #04H , START3

LJMP SONG3

START3:LCALL DIR

LJMP LOOP

SONG1 :MOV 30H, #LOW SING1 ;第一首歌的地址

MOV P0, #11111001B

LJMP NEXT

SONG2 :MOV 30H, #LOW SING2

MOV P0, #10100100B

LJMP NEXT

SONG3 :MOV P0, #10110000B

MOV 30H, #LOW SING3

LJMP NEXT

NEXT: MOV A, 30H

MOV DPTR, #TABLE

MOVC A, @A+DPTR ;至相关页取码,高4位位音符的高低 MOV R2, A ;低4位为音符的节拍

JZ STOP ;检查简谱码是否已结束(有无00?) ANL A, #0FH ;取节拍(低4位)

MOV R5, A ;存入R5,节拍的时间

MOV A, R2

SWAP A

ANL A, #0FH ;取音频值(高4位)

JNZ SING ;是否为0,是0则不发音

CLR TR0

JMP W1

SING: DEC A ;因0不列入

MOV 22H,A ;存入(22H)

RL A ;乘2

MOV DPTR, #TABLE

MOVC A, @A+DPTR ;至TABLE取码,取T的值 MOV TH0, A ;取得的高位字节存入TH0

MOV 21H, A ;取得的高位字节存入(21H)

MOV A, 22H ;再载入取得的音符码

RL A ;乘2

INC A ;加1

MOVC A, @A+DPTR

MOV TL0, A ;取得的低位字节存入TL0

MOV 20H, A ;取得的低位字节存入(20H)

LCALL TT ;调键盘扫描子程序

SETB TR0

w1: LCALL DELAY ;调延时子程序

INC 30H ;首地址加一

JMP NEXT

STOP: CLR TR0

JMP LOOP

;**************************中断服务子程序******************************* TIMER0:PUSH ACC ;将A的值暂存于堆栈

PUSH PSW ;将PSW的值暂存于堆栈

MOV TL0, 20H ;重设计数值

MOV TH0, 21H

CPL P2.1

POP PSW

POP ACC

RETI

;***************************187毫秒子程序******************************* DELAY:MOV R7, #2 ;187毫秒子程序

W2: MOV R4, #187

w3: MOV R3, #248

DJNZ R3, $

DJNZ R4, W3

DJNZ R7, W2

DJNZ R5, DELAY ;决定节拍

RET

;*************************键盘扫描小子程序********************************** TT: JNB P1.0, SONG1

JNB P1.1, SONG2

JNB P1.2, SONG3

RET

;*************************键扫子程序*************************************** KEY: LCALL KS

JZ EXIT

LCALL DIR

LCALL DIR

LCALL KS

JZ EXIT

MOV B, 20H

EXIT: RET

KEYS :LCALL KS

JZ KEY1

LCALL DIR

LJMP KEYS

KEY1: MOV B, 20H

RET

KS: PUSH PSW ;P0口数据处理子程序

CLR RS1

SETB RS0

MOV P1, #0FFH

MOV A, P1

CPL A

ANL A, #0FH

MOV 20H, A

CLR RS1

CLR RS0

POP PSW

RET

DIR: MOV R7, #20 ;延时去抖动子程序

WW: MOV R3, #248

DJNZ R3, $

DJNZ R7, WW

RET

;*****************************表格*********************************** ORG 300H

TABLE:DW 64260,64400,64524,64580

DW 64684,64777,64820,64898

DW 64968,65030,65058,65110

DW 65157,65178,65217

SING1: ;第一首生日快乐

;1

DB 82H,01H,81H,94H,84H

DB 0B4H,0A4H,04H

DB 82H,01H,81H,94H,84H

DB 0C4H,0B4H,04H

;2

DB 82H,01H,81H,0F4H,0D4H

DB 0B4H,0A4H,94H

DB 0E2H,01H,0E1H,0D4H,0B4H

DB 0C4H,0B4H,04H

;3

DB 82H,01H,81H,94H,84H

DB 0B4H,0A4H,04H

DB 82H,01H,81H,94H,84H

DB 0C4H,0B4H,04H

;4

DB 84H,01H,81H,0F4H,0D4H

DB 0B4H,0A4H,94H

DB 0E2H,01H,0E1H,0D4H,0B4H

DB 0C4H,0B4H,04H

DB 00H

SING2: ;第二首两只老虎

;1

DB 44H,54H,64H,44H

DB 44H,54H,64H,44H

DB 64H,74H,88H

DB 64H,74H,88H

;2

DB 82H,92H,82H,72H,64H,44H

DB 82H,92H,82H,72H,64H,44H

DB 44H,84H,48H

DB 44H,14H,48H

DB 00H

SING3: ;第三首三只小猫

;1

DB 62H,82H,82H,62H,98H

DB 92H,0B2H,0B2H,82H,98H

DB 62H,82H,82H,52H,68H

DB 92H,0B2H,0B2H,82H,98H

;2

DB 62H,82H,82H,62H,92H,92H,94H

DB 92H,0B2H,0B2H,92H,84H,94H

DB 0B8H,0B4H,04H

DB 00H

END

.

音乐播放器的详细设计

音乐播放器详细设计 1.引言 随着社会的快速发展,现今社会生活紧张,而欣赏音乐是其中最好的舒缓压力的方式之一,音乐成了我们生活工作中的一个重要的部分。而3G时代的到来,手机移动应用越来越普遍。此文档就是为了能更好地设计出一个基于android系统的音乐播放器而编写的。 1.1 编写目的 为软件的开发者能更好的理解和明确软件开发的详细过程,安排项目与进度、组织软件开发与测试,撰写本文档。本文档供项目组成员,软件开发人员参考。1.2项目背景 本项目由李雪梅、杨挺等人提出,由本组成员联合开发,实现播放现今流行的音乐MP3等文本格式。 该软件是基于Android系统的音乐播放软件,并能够与其他音乐播放软件兼容。 1.3 参考资料 [1] 重庆大学出版社《软件工程》“软件计划与可行性分析” [2] 靳岩、姚尚明人民邮电出版社《Android开发入门与实践》 [3] 可行性分析 [4] 《音乐播放器需求分析书》 [5] 《音乐播放器总体设计说明书》 1.4项目开发计划 实施计划:

阶段名称负责人 需求分析杨挺、李雪梅 总体设计李雪梅、杨挺 详细设计李雪梅、杨挺 软件测试李雪梅、杨挺 在技术方面,编程知识比较缺乏,对有些与项目相关的软件 不熟悉,需进行人员的技术培训(自学为主),技术难点是数据库的构架和软件功能的设计。 2. 总体设计 2.1 项目目的 本项目的目的是开发一个可以播放主流的音乐文本格式的播放器。设计的主要实现功能是播放MP3等格式的音乐文件,并且能控制播放,暂停,停止,音量控制,选择上一曲,选择下一曲,更改皮肤,歌曲列表文件的管理操作,在线播放,读取 存储卡播放等多种播放控制,界面简明,操作简单。 软件系统检测到错误行为时,报告错误,并提示处理操作。 2.2 软件运行环境 硬件:Android操作系统手机 系统软件:Android 2.2 -- 4.0版本 支撑软件:Eclipse 7.5 、ADT 1.5 2.3 需求概述

基于51单片机的音乐播放器

基于51单片机的音乐播放器 余子健、刘胤、宋亮 摘要:本大作业是基于sst89e52rd2单片机制作的wav音乐播放器。该播放器可以播放存在sd卡中的音乐,通过对sd卡的读取并将数字信号送入单片机中,借助8位DA转换器TLC5620 变成模拟信号,经过放大器TDA2822放大交给扬声器发出最初读取的音频信号,实现音乐播放的功能。 关键词:SD卡,WAV文件,DA,音频放大 1背景 音乐随身听产品经过几年的发展,已经变得相当成熟。市场上可以购买到各类不同的音乐播放器,产品线涵盖了高中低不同档次。作为学习与研究,本作品尝试利用STI51开发板板载资源以及外搭的功率放大电路制作一台音乐播放器,能够播放通过计算机拷贝在SD卡(或MMC卡、TF卡)的根目录中的某一个WAV 文件。 2硬件设计 该音乐播放器硬件组成如下 本音乐播放器使用容量为2G的SD作为外部存储器 主控制器采用SST公司生产的SST89E58RDA,其40引脚封装的芯片功能模块如图1所示。芯片主要特想如下: ?兼容80C51系列,内置超级FLASH存储器的单片机 ?工作电压VDD=4.5~5V,5V工作电压时0-40MHz频率范围 ?1KB的内部RAM ?两块超级FLASH EEPROM,32KB的基本存储卡和8KB的二级存储块(扇区大小为128字节),二级存储块可用于存放掉电后要保存的数据,放在内部具有极强的抗干扰性?最大片外程序/数据地址空间为64KB ?全双工增强型UART,帧错误检测,自动地址识别 ?9个中断源,4个中端优先级 ?降低EMI模式(通过AUXR SFR不允许ALE输出时钟),确保了单片机的高抗干扰性?双DPTR指针(查表,寻址更方便)

基于51单片机的音乐播放器设计

题目:音乐播放器 课程设计(论文)任务书

摘要 随着电子技术的发展和计算机越来越普遍的使用,单片机作为这两项技术的有机结合也得到了广泛的应用,在某些领域具有不可替代的作用。音乐播放功能随处都会用到,如,在开发儿童智力的玩具中,等等。目前,基于单片机实现音乐播放,其体积小、价格低、编程灵活等特点在这一领域独领风骚。 单片机的英文名称为single chip microcomputer,最早出现在20世纪70年代,国际上现在已逐渐被微控制器(Microcontroller Unit 或MCU)一词所取代。它体积小,集成度高,运算速度快,运行可靠,功耗低,价格廉,因此在数据采集、智能化仪表、通讯设备等方面得到了广泛应用。而8051单片机在小到中型应用场合很常见,已成为单片机领域的实际标准。随着硬件的发展,8051单片机系列的软件工具也有了C级编译器和实时多任务操作系统RTOS,为单片机编程使用C语言提供了便利的条件;并针对单片机常用的接口芯片编制通用的驱动函数,可针对常用的功能模块,算法等编制相应的函数;C语言模块化程序结构特点,可以使程序模块大家共享,不断丰富,这样就使得单片机的的程序设计更简单可靠,实时性强,效率高。作为测控技术与仪器的学生,掌握8051单片机硬件基础及其相关软件操作,将其应用于现代电子产品中是必要而且重要的,这次课程设计我们的题目是用单片机实验箱系统制作音乐播放器。 本次课程设计主要内容是通过单片机C51语言进行编程,以产生乐曲音符和节拍,把乐谱翻译成计算机语言(音符转换诚成相对应的方波频率即定时器装载初值,节拍转换成相对应的延长时间),并将其预先存储到单片机里,然后根据按键调用再由单片机进行信息处理,在经过信号放大,由喇叭放出乐曲声,实现音乐播放的功能。其主要表现在可以播放十首歌曲,可以用十个数字键控制播放的歌曲,并且能在LCD液晶屏显

嵌入式MP3播放器的设计

嵌入式MP3播放器的设计 1 系统概述 本文采用STM32系列微控制器,结合解码芯片VS1003、SD卡、LCD等外围设备设计并实现了MP3播放器。其主要功能有:播放VS1003支持的所有音频文件,如MP3、WMA、WAV文件,且音质非常好;通过触摸屏实现按键功能,控制播放上一首/下一首、音量增减等;通过LCD显示歌曲名字和播放状态;本系统还实现了读卡器功能,PC机可通过USB接口直接对开发板上的SD卡进行读写操作,以方便拷贝音频文件。 MP3播放过程是STM32通过SPI1接口将数据从SD卡中取出,然后通过SPI2接口送至解码芯VS1003解码播放。这里解码模块单独使用一个SPI接口,以减小干扰和噪声、提高音质。 2 系统硬件设计方案 本系统在硬件上分为6个模块: 微控制器STM32F103、解码模块VS1003、存储模块SD卡、触摸屏、USB接口和显示屏LCD。系统硬件框架如图5所示。 VS1003 STM32 图5 系统硬件框架图 2.1 存储模块设计 SD卡在现在的日常生活与工作中使用非常广泛,时下已经成为最为通用的数据存储卡。在诸如MP3、数码相机等设备上也都采用SD卡作为其存储设备。SD卡之所以得到如此广泛的使用,是因为它价格低廉、存储容量大、使用方便、通用性与安全性强等优点。SD卡支持两种总线方式: SD方式与SPI方式。其中SD 方式采用6线制,而SPI方式采用4线制,采用单片机对SD卡进行读写时一般都采用SPI模式。可用不同的初始化方式使SD卡工作于SD方式或SPI方式。 在本设计中,音频数据MP3文件是以SD卡为载体。所以在电路设计中必须含有读取SD卡模块。该系统使用STM32内部接口SPI1与SD卡进行通信,下面介绍其引脚连接情况。 PE3:低电平有效,连接到SD卡的片选引脚CD/DAT3。SPI在和SD卡进行通

基于单片机的音乐播放器

基于单片机的音乐播放器 摘要 单片机是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计数器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统。 基于单片机的音乐播放器可应用于MP3、MP4,扩音器等很多方面,并可作为很多系统的辅助功能,作为单片机的重要硬件资源之一,利用定时器可以产生各种固定频率的方波信号,也可以产生包括"Do"、"Re"、"Me"--等音阶在内的各种频率声音。将各个音阶连接在一起,便可组成一支曲子或是演奏一段旋律。基于这个思想,采用AT89C52单片机设计了一款特殊的"音乐播放器",本播放器可实现播放、暂停、复位等功能。 关键字:单片机;集成电路:89C52芯片;音乐播放器

Abstract Single Chip Microcomputer is an integrated circuit chip, VLSI technology is the use of having the data processing capabilities of the CPU random access memory RAM, read-only memory ROM, a variety of I / O port and interrupt system, the timer / counter functions (may also include a display driving circuit, the pulse width modulation circuit, an analog multiplexer, A / D converter circuit, etc.) are integrated into a small sound system on a microcomputer composed of silicon. Microcontroller-based music player can be used in many ways mp3, MP4, loudspeakers, etc., and as a secondary function of many systems, as one of the important microcontroller hardware resources, using the timer can generate a variety of fixed frequency square wave signal can be generated include the "Do", "Re", "Me" - like various frequencies including the sound scale. The various scales together, may form a song or play a melody. Based on this idea, using AT89C52 designed a special "Music Player", the player can be realized play, pause, reset and other functions. Keyword:Single Chip Microcomputer;integrated circuit;89C52 chip;Music Player

音乐播放器的设计与实现

德州学院信息管理学院 课程设计报告实习名称课程设计2 设计题目Android音乐播放器的设计与实现实习时间 专业班级12级计算机科学与技术 指导老师刘想 教学单位(盖章) 小组成员分工情况: 学号姓名分工 3018 周生明音乐播放的设计与实现1052曹法瑞 1040 张正奎 1055 李元华 2049 王山 二〇一五年六月三十日

目录 摘要 ................................................................................................................ 错误!未定义书签。 1 引言 ............................................................................................................ 错误!未定义书签。 2 可行性分析................................................................................................. 错误!未定义书签。 2.1 技术可行性...................................................................................... 错误!未定义书签。 2.2 经济可行性...................................................................................... 错误!未定义书签。 2.3 管理可行性...................................................................................... 错误!未定义书签。 2.4 可行性分析结论.............................................................................. 错误!未定义书签。 3 系统需求分析............................................................................................. 错误!未定义书签。 3.1 功能分析.......................................................................................... 错误!未定义书签。 3.2 数据流程分析.................................................................................. 错误!未定义书签。 4 系统功能设计............................................................................................. 错误!未定义书签。 4.1 播放器功能结构.............................................................................. 错误!未定义书签。 4.1.1 播放器主界面功能模块....................................................... 错误!未定义书签。 4.1.2 播放器菜单功能模块........................................................... 错误!未定义书签。 4.2 播放器功能流程.............................................................................. 错误!未定义书签。 5 系统实现..................................................................................................... 错误!未定义书签。 5.1 播放器主界面功能列表.................................................................. 错误!未定义书签。 5.2 播放器基本功能的实现.................................................................. 错误!未定义书签。 5.3 播放列表的实现.............................................................................. 错误!未定义书签。 6 软件测试与验证......................................................................................... 错误!未定义书签。 6.1 软件测试的目的.............................................................................. 错误!未定义书签。 6.2 软件测试的方法.............................................................................. 错误!未定义书签。 6.3 软件测试环境.................................................................................. 错误!未定义书签。 6.3.1 android模拟器 ...................................................................... 错误!未定义书签。 6.3.2 真机测试............................................................................... 错误!未定义书签。 6.4 软件测试流程与结果评估.............................................................. 错误!未定义书签。 6.4.1 测试流程............................................................................... 错误!未定义书签。 6.4.2 结果评估............................................................................... 错误!未定义书签。

基于单片机音乐播放器课程设计报告书

目录 第一章绪论 (1) 第二章音乐播放器主要器件相关知识介绍 (2) 2.1 AT89C51 (2) 2.2 LCD 显示器 (4) 2.3 喇叭 (5) 2.4 键盘 (5) 第三章音乐播放器设计原理 (6) 3.1 单片机发声的基本原理 (6) 3.2 设计的相关音乐说明 (7) 3.3 音乐播放器设计功能说明 (7) 3.4 设计结构框图 (9) 3.5 主程序控制的工作流程图 (10) 3.6 播放音乐的主程序 (11) 设计心得 (15) 参考文献 (15)

第一章绪论 二十世纪九十年代以来,计算机、信息、电子、控制、通信等技术得到迅速发展,促使了社会生产力的提高,也使人们的生产方式和生活方式产生了日新月异的变化。随着人们生活水平的提高及对音乐的喜爱,对音乐播放器的品质,功能,品种等提出了越来越多的要求,表现在对控制系统性能、可靠性等要求越来越高。而品质的提高,功能的更新,可靠性的增强,品种的变化无不于产品的核心控制部分水平的提高密不可分。家用音乐播放器产品及其它有关消费电器产品都是一些开环或闭

环控制系统,都由核心控制部分,执行部分与人机界面三部分组成。而最为重要的控制部分一般是由单片机来执行完成的,这就必将导致和促进单片机在音乐领域应用的发展。现在这些由单片机实现的音乐播放器的功能越来越强、费用越来越低。例如,就市场上的mp3目前的功能越来越强大体积却越来越小,价格也逐渐便宜,被大多数人所能接受。但这些音乐播放器也或多或少的存在着一些问题,解决这些问题,还除智能化的单片机莫属。 设计指标: (1)设计一个(4×4)的键盘,并将16个键设计成16个音; (2)可弹奏想要表达的音乐; (3)该电子琴包含1首示例音乐,接通电源可播放示例音乐。 设计要求: (1)按设计指标进行电路设计; (2)列出音阶与单片机定时器输出频率关系表格; (3)制作符合设计指标的硬件电路。

基于51单片机音乐播放器的设计

本科毕业论文(设计) 题目:基于51单片机音乐播放器的设计 学院: 班级: 姓名: 指导教师:王振义职称:副教授 完成日期:2015年5月20日

基于51单片机音乐播放器设计 摘要:在当今这个繁杂的社会,随着生活节奏的加快,人们从事长期的工作和面对学习过大的压力导致我们处于紧绷的状态,因此音乐对于调节压力不言而喻的十分重要。校园里的上下课的铃声,广场中爷爷奶奶伴随着音乐进行锻炼身体。此设备为人们目前压力过大的生活带来了乐趣。 本文是利用51单片机原理设计音乐演奏的硬件电路,并运用C语言进行程序部分的设计。经过51单片机来产生频率不同的波,这些波经过单片机输出和放大电路的放大驱使喇叭发出不一样的音调,延迟系统有可控制音符发音长短。把音乐转化成可以从发音设备中发出的悦耳动听的音乐。 关键字:单片机;音乐播放器;C语言

Design based on 51 SCM music player Abstract:In today's complex society, with the accelerating rhythm of life, the people in the long-term work and face learning too much pressure lead to us is in a state of tension, so the music is very important for regulating pressure self-evident.The ringing of a campus of adding and dropping classes, grandma and grandpa accompanied by music in the square to exercise.This device at present stress for people brought joy of life. This paper is the use of 51 single chip microcomputer hardware circuit design of music playing, and part using C language program design.After 51 single chip microcomputer to produce different frequency of wave, the wave through single chip microcomputer output and amplifying circuit amplifier drives the horn a different tone, length of the delay system with control pronunciation notes.Can put the music into sweet music from pronunciation in the device. Keywords : Single-chip processor; Music player; C programming language

音乐播放器设计文档

生产实习报告 题目:音乐播放器 学生姓名:张凡 学号: 201220220123 班级: 1222201 专业:数字媒体技术 指导教师:张金 2015年08 月08日

目录 一、引言 (3) 1.1 项目背景 (3) 1.2 项目研究的目的 (4) 1.3 安卓简介 (4) 二.功能分析 (5) 2.1 功能需求分析 (5) 2.2 系统性能需求 (6) 2.3 运行环境需求 (6) 三.程序详细设计 (6) 3.1 主界面的设计 (6) 3.2 播放界面设计 (11) 3.3 其他功能 (14) 四.调试与运行 (18) 4.1 调试 (18) 4.2 运行结果 (19) 五.总结 (21)

一、引言 1.1 项目背景 当今社会的生活节奏越来越快,人们对手机的要求也越来越高,由于手机市场发展迅速,使得手机操作系统也出现了不同各类,现在的市场上主要有三个手机操作系统,symbian,Windows mobile,以及谷歌的Android操作系统,其中占有开放源代码优势的Android系统有最大的发展前景。那么能否在手机上拥有自己编写的个性音乐播放器呢?答案是:肯定的,谷歌Android系统就能做到。本文的音乐播放器就是基于谷歌Android手机平台的播放器。 随着计算机的广泛运用,手机市场的迅速发展,各种音频视频资源也在网上广为流传,这些资源看似平常,但已经渐渐成为人们生活中必不可少的一部分了。于是各种手机播放器也紧跟着发展起来,但是很多播放器一味追求外观花哨,功能庞大,对用户的手机造成了很多资源浪费,比如CPU,内存等的占用率过高,在用户需要多任务操作时,受到了不小的影响,带来了许多不便,而对于大多数普通用户,许多功能用不上,形同虚设。针对以上各种弊端,选择了开发多语种的音频视频播放器,将各种性能优化,继承播放器的常用功能,满足一般用户(如听歌,看电影)的需求,除了能播放常见格式的语音视频文件,高级功能:还能播放RMVB格式的视频文件。此外,还能支持中文、英文等语言界面。

在线音乐播放系统详细设计

<在线音乐播放系统> 详细设计说明书 作者: 完成日期: 签收人: 签收日期: 修改情况记录:

1 引言 1.1 编写目的 为软件开发人员在编码的过程中有所依据和参考。 面向人员:程序开发人员 1.2 背景 说明: a.待开发的软件系统的名称:在线音乐播放系统 b.列出本项目的任务提出者:北软老师 c.开发者:邓凯 d.用户:广大互联网用户 e.将运行该项软件的单位:web服务器 1.3 定义 OMS :Online music system,在线音乐播放系统。 1.4 参考资料 列出要用到的参考资料,如: a.本项目的经核准的计划任务书或合同、上级机关的批文; b.在线音乐播放系统概要设计.doc; c.本文件中各处引用的文件、资料,包括所要用到的软件开发标准。 列出这些文件的标题、文件编号、发表日期和出版单位,说明能够得到这些文件资料的来源。 2 程序系统的结构 本程序主要采用四层结构,如下所示: 图1 系统结构示意图

3 程序(标识符)设计说明 3.1 在线音乐网络爬虫设计说明: 资源的数量和质量将决定了系统的商业价值,为了获取更多的网络媒体资源,为系统设计了一款针对互联网上音乐资源的网络爬虫。 3.1.1爬虫的原理: 网络爬虫是一个自动提取网页的程序,它为搜索引擎从Internet网上下载网页,是搜索引擎的重要组成。 传统爬虫从一个或若干初始网页的URL开始,获得初始网页上的URL,在抓取网页的过程中,不断从当前页面上抽取新的URL放入队列,直到满足系统的一定停止条件。 聚焦爬虫的工作流程较为复杂,需要根据一定的网页分析算法过滤与主题无关的链接,保留有用的链接并将其放入等待抓取的URL队列。然后,它将根据一定的搜索策略从队列中选择下一步要抓取的网页URL,并重复上述过程,直到达到系统的某一条件时停止,另外,所有被爬虫抓取的网页将会被系统存贮,进行一定的分析、过滤,并建立索引,以便之后的查询和检索;对于聚焦爬虫来说,这一过程所得到的分析结果还可能对以后的抓取过程给出反馈和指导。 相对于通用网络爬虫,聚焦爬虫还需要解决三个主要问题: (1)对抓取目标的描述或定义; (2)对网页或数据的分析与过滤; (3)对URL的搜索策略。 3.1.2在线音乐播放系统爬虫的设计: 与通用爬虫不同,在线音乐播放系统爬虫只针对https://www.360docs.net/doc/5715377197.html,音乐频道 https://www.360docs.net/doc/5715377197.html,音乐频道,针对MP3格式的资源。 3.1.3爬虫的流程图:

单片机课程设计报告简易音乐播放器

第一章前言 伴随着科技的发展和时代的进步,人们对生活质量的要求也越来越高,由以前简单的追求温饱和物质财富转向更高层面的精神追求!而这一切催生了智能仪器的发展,音乐则是人们娱乐生活的重要组成部分,目前市场上出现了许许多多的音乐播放器,而人们对播放器的功能需求也越来越广泛,本文将设计一个基于单片机的音乐播放器,通过对其全面的介绍与分析,让大家了解音乐播放器的原理! 第二章: 简易音乐播放器的功能和原理说明 音乐播放器,可以通过单片机板子上的数字按键对音乐播放的曲目进行控制,并且通过LED灯将播放的音乐加以区分,在播放音乐的同时点亮LED灯,让人知道现在正在播放的音乐曲目,从而实现简单的音乐播放功能! 表1 需求分析 功能说明:这次设计是依据单片机技术原理,通过硬件电路设计以及软件的编译而设计的一个简单的音乐播放器,可以通过按键选择播放四首歌曲(歌曲自选,只要将想要播放的歌曲的乐谱写入程序中即可)同时点亮相应的LED灯,并用它来指示当前播放的歌曲序列,只能实现简单的音乐播放功能。 原理说明:这个音乐播放器主要有晶振电路,复位电路,LED电路,按键电路以及扬声器组成。它利用单片机产生乐曲音符,再把乐曲音符翻译成计算机音乐语言,接着用单片机进行信息处理,再通过蜂鸣器或喇叭放出音乐。音乐的产生主要是通过单片机的I/O口输出高低不同的脉冲信号来控制扬声器发音。通常利用单片机的内部定时器0,工作在方式1下,再改变计数初值TH0和TL0来产生不同频率。当控制歌曲按键按下时,按照预先存放在单片机中的程序,就

会自动判断键值,然后启动计数器,按照程序产生一定频率的脉冲,接着通过uln2003芯片驱动扬声器,播放出乐曲。该硬件电路中用P3.0,P3.1,P3.2,P3.3控制四个按键,“0”,“1”,“2”,“3”分别控制四首音乐。P1.0,P1.1,P1.2,P1.3控制四个LED灯,它们分别对应四个按键,用来显示正在播放的歌曲,并用P2.3 来控制扬声器,电路为12MHz晶振频率工作,起振电路中C1 和C2为22pf。 第三章:系统硬件电路设计 1:硬件体系结构设计 该简易音乐播放器主要有单片机核心芯片89C52,LED发光二极管,扬声器,晶振电路,按键电路,复位电路组成,通过芯片引脚输出定时器产生的各种固定频率的方波信号,然后在经由扬声器产生各种频率的声音。另外,该方案使用的是单片机板子的内部振荡电路,89C52芯片的X1,X2引脚外接石英晶体。它的系统组成如图所示。 (1)晶振电路部分晶振的作用是给电路提供工作信号脉冲的,其实就是单片机的工作速度。本次设计选用12M晶振,则单片机的工作速度就是每秒12M。与此同时,也要注意单片机的工作频率范围。 (2)复位电路部分当系统出现问题时可以重置系统,解决一些问题 (3)LED显示部分显示系统在各种不同条件下的状态 (4)89C52芯片整个设计的核心,接收和处理信号及程序 (5)按键电路部分作为系统的输入

(完整版)基于51单片机的自动音乐播放器设计毕业设计

本科毕业论文(设计) 题目: 基于51单片机的自动音乐播放 器设计 院系:电子与通信工程学院 专业:通信工程 姓名:张志顺 指导教师:陈冬云 教师职称:助教

填写日期:2014年4月20日 摘要 为了人们在快节奏的日常生活,优化工厂、事业单位、公司等的计时系统,采用了依靠单片机为基础设计了一种的自动音乐播放器。本设计利用单片机89C58RD+的计数和定时功能,来完成对时间的定时和显示功能。并且,通过对定时器初值的设定来产生不同频率的声音,利用定时器中断来对音乐节拍长度的控制。通过LM386N1音频功率放大器的音频放大功能,将单片机控制输出的信号放大,然后通过扩音器播放乐曲。通过MAX232型芯片,可以转换PC机上的电压和单片机的电源电压,再通过相应串口接入PC机,这样就能从PC机上将用C语言编写的程序代码下载到单片机上。最后可以在数码管上显示时间,当到达之前设定的时间之后,

扩音系统就会自动播放一段连续而美妙动听的音乐。此设计规避了传统闹钟的难听并且刺耳声音,而变成的是美妙动听的音乐,能给处于当前快节奏生活的人们的日常生活提供精确的计时,且因为成本较低,值得推广。 关键词:单片机;自动音乐播放;音频转换;时间显示;LM386N1音频功率放大器。 Abstract

To people in the fast pace of daily life, optimization of factories, institutions, companies such as timing system, based on microcomputer was adopted to design a kind of automatic music player. This design using the single chip microcomputer 89 c58rd + count and timing functions, to complete the regular and display function of time. And, through to the setting of the initial value of timer to generate different frequencies of sound, using a timer interrupt to control of the beat of the music length. Through the audio amplifier function LM386N1 audio power amplifier, the single-chip microcomputer control output signal amplification, and then through loudspeakers. Through MAX232 chip, can convert the voltage of power supply voltage of PC and microcontroller, again through the corresponding access PC serial port, so you can from the PC to download program code written in C language to the single chip microcomputer. Last time can be displayed on the digital tube, when, after arriving in setting the time before the public address system will automatically play a continuous and delightful music. This design to avoid the traditional alarm clock ugly and give in the fast-paced life of the People's Daily life to provide accurate timing, and because of lower cost, is worth promoting. Key words: single chip microcomputer; Automatic music playback; Audio conversion; Time display; LM386N1 audio power amplifier.

基于单片机的音乐播放器

基于单片机的音乐播放 器 Document number【980KGB-6898YT-769T8CB-246UT-18GG08】

基于51单片机的音乐播放器制作 一、设计原理 乐曲中有不音符,实质就是不同频率的声音。通过单片机产生不同的频率的脉冲信号,经过放大电路,由蜂鸣器放出,就产生了美妙和谐的乐曲。 二、AT89C51简介 AT89C51:是一种4K字节FLASH存储器的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AAT89C2051是它的一种精简版本。AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 主要功能特性: 1)与MCS-51兼容; 2)4K字节可编程FLASH存储器; 3)全静态工作:0Hz-24MHz; 4)128×8位内部RAM; 5)两个16位定时器/计数器; 6)5个中断源; 7)可编程串行通道; 8)低功耗的闲置和掉电模式; 9)片内振荡器和时钟电路。 三、硬件结构 下图是以AT89C51单片机为核心的音乐播放器系统硬件设计结构图。该系统主要是由复位电路、按键电路、时钟电路、中心模块、扬声器驱动等组成。其工作原理为:此音乐播放器,有三个按键及控制按钮:播放/暂停、下一曲、上一曲;通过控制按钮控制单片机,播放所要求的音乐,并通过放大电路和喇叭输出声音。 4.1 4.2 1 除以2 在I/O 2

51单片机音乐播放器程序

#include //包含51单片机寄存器定义的头文件 sbit sound=P3^6; //将sound位定义为P3.6 unsigned int C; //储存定时器的定时常数 //以下是C调低音的音频宏定义 #define l_dao 262 //将"l_dao"宏定义为低音"1"的频率262Hz #define l_re 286 //将"l_re"宏定义为低音"2"的频率286Hz #define l_mi 311 //将"l_mi"宏定义为低音"3"的频率311Hz #define l_fa 349 //将"l_fa"宏定义为低音"4"的频率349Hz #define l_sao 392 //将"l_sao"宏定义为低音"5"的频率392Hz #define l_la 440 //将"l_a"宏定义为低音"6"的频率440Hz #define l_xi 494 //将"l_xi"宏定义为低音"7"的频率494Hz //以下是C调中音的音频宏定义 #define dao 523 //将"dao"宏定义为中音"1"的频率523Hz #define re 587 //将"re"宏定义为中音"2"的频率587Hz #define mi 659 //将"mi"宏定义为中音"3"的频率659Hz #define fa 698 //将"fa"宏定义为中音"4"的频率698Hz #define sao 784 //将"sao"宏定义为中音"5"的频率784Hz #define la 880 //将"la"宏定义为中音"6"的频率880Hz #define xi 987 //将"xi"宏定义为中音"7"的频率523H //以下是C调高音的音频宏定义 #define h_dao 1046 //将"h_dao"宏定义为高音"1"的频率1046Hz #define h_re 1174 //将"h_re"宏定义为高音"2"的频率1174Hz #define h_mi 1318 //将"h_mi"宏定义为高音"3"的频率1318Hz #define h_fa 1396 //将"h_fa"宏定义为高音"4"的频率1396Hz #define h_sao 1567 //将"h_sao"宏定义为高音"5"的频率1567Hz #define h_la 1760 //将"h_la"宏定义为高音"6"的频率1760Hz #define h_xi 1975 //将"h_xi"宏定义为高音"7"的频率1975Hz /******************************************* 函数功能:1个延时单位,延时300ms ******************************************/ void delay() { unsigned char i,j; for(i=0;i<300;i++) for(j=0;j<300;j++) ; } /******************************************* 函数功能:主函数 ******************************************/ void main(void) { unsigned char i,j; //以下是《知足》的一段简谱

音乐播放器实验报告

一、实验项目名称 基于单片机的音乐播放器 二、实验目的 Ⅰ设计方案 设计一个基于AT89C51系列单片机的音乐盒,利用按键控制切换演奏出不同的音乐。蜂鸣器发出某个音调,与之对应的LED灯亮起。使用两个按键,一个用来切换歌曲,另一个切换LED的变化花样。Ⅱ研究内容 ①电路有两种模式:演奏音乐模式和花样灯模式 A 演奏音乐模式:演奏完整的一首歌曲,LED随着音乐变化; B 花样灯模式:LED变化出各种花样,蜂鸣器随着发出“滴滴”声; ②按下按键1进入演奏音乐模式,再按切换歌曲,共两首歌曲; 按下按键2进入花样灯模式,再按切换LED花样,共三种花样。Ⅲ总体方案图 a组成框图: 音乐盒的系统结构以AT89C51单片机位控制核心,加上2个按键、时钟复位电路、蜂鸣器、LED模块组成。单片机负责接收按键的输入,根据输入控制音乐播放曲目和音乐花样灯的显示样式以及蜂鸣器发音。系统组成框图如下所示:

b功能结构图: Key1负责切换播放歌曲,共两首。分别是祝你生日快乐和寂寞沙洲冷;Key2负责切换LED显示花样,共3种:顺序显示,由两边向中间移动然后向两边移动,循环显示。 三、实验器材 Windows7 操作系统Proteus仿真软件 keil4软件AT89C51单片机 共阴极数码管开关电容晶振 电阻发光二极管蜂鸣器 四、实验要求 (1)以单片机为主控处理器,用蜂鸣器播放歌曲;

(2)系统要求有选择上一首、下一曲功能; (3)两个按键,可在播放和显示花样中进行切换; (4)用一个键控制花样类型的显示功能; (5)用LED灯闪烁“伴奏”。 五、实训基本原理(附原理图、源程序清单) 1 硬件设计 ①LED显示电路设计与原理 LED显示电路是由8个LED发光二极管组成,连接方式是共阳极,LED接到单片机的P1口,若是低电平,可使LED亮。发光二极管的亮灭是由内部程序控制的,8个LED发光二极管分别对应不同的音阶,所以LED会随着音阶的变化按规律亮灭。 ②硬件电路图及其功能介绍 1)电路中用P3.2、P3.3 控制键; 2)P1.0~P1.7控制LED; 3)P2.3控制蜂鸣器; 4)电路为12MHZ晶振频率工作,起振电路中C1、C2均为30PF。

相关文档
最新文档