eda期末考试试卷及答案

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

eda期末考试试卷及答案

(A卷)

D( WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范

赣南师范学院围

7(以下哪个程序包是数字系统设计中最重要最常用的程序包 B 2010—2011学年第一学期期末考试试卷(A卷)(闭卷) A(STD_LOGIC_ARITH 班级

B(STD_LOGIC_1164 学号年级 2008 专业电子科学与技术 (本)课程名称 EDA技术基础 C(STD_LOGIC_UNSIGNED 姓名 D(STD_LOGIC_SIGNED 题号一二三四五总分密 8(基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入? A ?

得分封线内综合?适配?时序仿真?编程下载?硬件测试。

A(功能仿真 B(逻辑综合 C(配置 D(引脚锁定不得答阅卷人 9(不完整的IF 语句,其综合结果可实现 D 题注意事项:1、教师出题时请勿超出边界虚线; A(三态控制电路 B(条件相或的逻辑电路 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; C(双向控制电路 D(时序逻辑电路 3、答题请用蓝、黑钢笔或圆珠笔。 10(下列语句中,属于并行语句的是 A 一、单项选择题(30分,每题2分) A(进程语句 B(IF语句 C(CASE语句 D(FOR语句 1(以下关于适配描述错误的是 B 11(综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表

A(适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。之产生最终的下载文件 A(综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本B(适配所选定的目标器件可以不属于原综合器指定的目标器件系列结构相映射的网表文件 C(适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 B(综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映D(通常,EDAL软件中

的综合器可由专业的第三方EDA公司提供,而适射过程,并且这种映射关系不是唯一的配器则需由FPGA/CPLD供应商提供 C(综合是纯软件的转换过程,与器件硬件结构无关 2(VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体

D(为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综与结构体两部分,结构体描述 D 。合约束 A(器件外部特性 B(器件的综合约束 12(CPLD

的可编程是主要基于什么结构 D 。 C(器件外部特性与内部功能 D(器件的内部功能 A(查找表(LUT) B(ROM可编程 3(下列标识符中, B 是不合法的标识符。

C(PAL可编程 D(与或阵列可编程 A(State0 B(9moon C(Not_Ack_0 D(signall

13(以下器件中属于Altera 公司生产的是 B 4(以下工具中属于FPGA/CPLD集成化开发工具的是 D A(ispLSI系列器件 B(MAX系列器件 A(ModelSim B(Synplify Pro C(XC9500系列器件 D(Virtex系列器件 C(MATLAB D(QuartusII 14. 在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D 5(进程中的变量赋值语句,其变量更新是 A 。 A(if clk'event and clk = '1' then B(if clk'stable and not

clk = '1' then A(立即完成 B(按顺序完成 C(if rising_edge(clk) then D(if not clk'stable and clk = '1' then C(在进程的最后完成 D(都不对 15(以下关于状态机的描述中正确的是 B 6(以下关于CASE语句描述中错误的是 A A(Moore

型状态机其输出是当前状态和所有输入的函数 A(CASE语句执行中可以不必选中所列条件名的一条 B(与Moore型状态机相比,Mealy型的输出变化要领先一个时钟

周期 B(除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则

C(Mealy型状态机其输出是当前状态的函数最末一个条件句的选择必须加上最后

一句“WHEN OTHERS=><顺序语D(以上都不对句>” C(CASE语句中的选择值只能出现一次

第1页(共6页)

(A卷)

二、EDA名词解释,写出下列缩写的中文含义(10分,每题2分) END bhv;

1(FPGA:现场可编程门阵列

四、程序改错题(仔细阅读下列程序后回答问题,12分) 2(HDL: 硬件描述语言

1 LIBRARY IEEE; 班级 3(LE: 逻辑单元

2 USE IEEE.STD_LOGIC_1164.ALL; 学号

3 ENTITY ga IS 4(FSM: 有限状态机姓名

4 PORT ( CLK : IN STD_LOGIC ;

5(SOPC: 可编程片上系统 5 Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ; 密

6 END gb; 封线内

7 ARCHITECTURE bhv OF ga IS 三、程序填空题(20分,每空2分) 不得答

8 SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); 以下是一个模为60(0~59)的8421BCD码加法计数器VHDL描述,请补充完题

9 BEGIN 整 10 PROCESS (CLK) LIBRARY IEEE; 11 BEGIN Use IEEE.std_logic_1164.all ; 12 IF RISING_EDGE(CLK) begin

13 IF Q1 < “1001” THEN ENTITY ta IS 14 Q1 <= Q1 + 1 ;

15 ELSE PORT ( CLK : IN STD_LOGIC ;

16 Q1 <= (OTHERS => '0'); SHI : OUT INTEGER RANGE 0 TO 9;

17 END IF; GE: OUT INTEGER RANGE 0 TO 9) ;

18 END IF; END ; 19 END PROCESS ; 20 Q <= Q1; ARCHITECTURE bhv OF ta IS 21 END bhv; SIGNAL SHI1,GE1 : INTEGER RANGE 0 TO 9 ;

程序编译时,提示的错误为: BEGIN

Error: Line 12: File e:\mywork\test\ga.vhd: VHDL syntax error: If statement must have PROCESS ( CLK )

BEGIN THEN, but found BEGIN instead

IF CLK’EVENT AND CLK=’1’ then Error: Line 14: File

e:\mywork\test\ga.vhd:Subprogram error:can’t interpret subprogram IF GE1 = 9 THEN call GE1 <= 0 ;

相关文档
最新文档