数字逻辑实验三实验四

合集下载

数字逻辑实验三 实验四

数字逻辑实验三 实验四

实验报告课程名称电子技术综合设计与实践题目名称实验三、实验四学生学院自动化学院专业班级物联网工程学号学生姓名指导教师2016年 6 月 26 日一、实验目的1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。

2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。

二、功能描述及分析实验三:(1)分别用两个四位二进制数表示两个十进制数,如:用A3 A2 A1A0表示被加数,用B3B2B1B0表示加数,用S3 S2 S1 S0表示“和”,用C0表示进位。

(2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D3D2D1D0=0110;当S<9时,修正值为D3D2D1D0=0000。

(3)由真值表,我们可以得出D3=D0=0,D2=D1=FC4 + S4(S3+S2)实验四:(1)分别用四位二进制数来表示十进制数,触发器状态用DCBA表示,10个技术状态中的初值状态为0001。

(2)列出状态表,如下(3)得出次态方程:D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D(4)选用D触发器来实现,求触发器激励函数D4=BC, D3=B⊕C, D2=A D, D1=A⊕D(5)画出逻辑电路图如下:(6)四个触发器输出端一次输入到7447数码管译码器输入端。

三、实验器材实验三:(1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED 显示灯。

(2)DE2开发板和QuartusⅡ7.2软件实验四:一个74292分频器、一个7447数码管译码器、四个D触发器、二个与门、二个非门、二个异或门四、实验结果(电路图)实验三:举例:1、当输入0001+0010时,输出是0011,,则对应的是指示灯是0与1号绿灯亮,代表十进制数里的十位数的进位指示灯C0不亮。

《数字逻辑》实验组合逻辑电路实验

《数字逻辑》实验组合逻辑电路实验

《数字逻辑》实验组合逻辑电路实验组合逻辑电路实验一一、实验目的1、熟悉半加器、全加器的实验原理,学习电路的连接;2、了解基本74LS系列器件(74LS04、00、32)的性能;3、对实验结果进行分析,得到更为优化的实验方案。

二、实验内容1、按照实验原理图连接电路。

2、实验仪器:74LS系列的芯片、导线。

实验箱内的左侧提供了插放芯片的地方,右侧有控制运行方式的开关KC0、KC1及KC2。

其中KC1用来选择实验序号。

序号为0时,手动进行。

自动运行时按加、减选择所做实验的序号。

试验箱内有分别用于手动和自动实验的输入的控制开关Kn和Sn。

3、三、实验原理实验原理图如下:四、实验结果及分析1、将实验结果填入表1-11-1 表2、实验结果分析由实验结果可得半加和:Hi=Ai⊕Bi 进位:Ci=AiBi则直接可以用异或门和与门来实现半加器,减少门的个数和级数,提高实验效率。

实验二全加器一、实验目的1、掌握全加器的实验原理,用简单的与、或非门来实现全加器的功能。

2、分析实验结果,得到全加器的全加和和进位的逻辑表达式,根据表达式用78LS138和与、或、非门来实现全加器。

二、实验内容同半加器的实验,先采用手动方式,再用自动方式。

用自动方式时选实验序号2。

三、实验原理四、实验结果及其分析表1-2 2、实验结果分析从表1-2中的实验结果可以得到:Si=AiBiCi?1+AiBiCi?1+AiBiCi-1=Ai?Bi?Ci-1Ci=AiBi+AiCi-1+BiCi-1故Si=?m(1,2,4,7) Ci=?m(3,5,6,7)因此可用三—八译码器74LS138和与非门实现全加器,逻辑电路图如下:实验三三—八译码器与八—三编码器一、实验目的1、进一步了解译码器与编码器的工作原理,理解译码和编码是相反的过程。

2、在连接电路时,注意译码器74LS138和编码器74LS148使能端的有效级,知道两者的区别。

3、通过实验理解74LS148是优先权编码器。

数字逻辑与数字系统设计课程设计

数字逻辑与数字系统设计课程设计

数字逻辑与数字系统设计课程设计一、课程设计背景数字逻辑与数字系统设计课程介绍了数字电路的基本概念、设计和分析方法。

数字逻辑是电子技术中非常重要的一部分,广泛应用于计算机、通信、自动化控制、计算器、游戏机等电子产品。

通过本课程的学习,学生将掌握数字逻辑和数字系统设计的基本原理和方法。

二、课程设计内容本次数字逻辑与数字系统设计课程设计主要分为以下几个部分:1.实验一:Karnaugh图和逻辑多路选择器设计实验2.实验二:数字逻辑电路的组合设计实验3.实验三:数字电路的时序设计实验4.实验四:数字系统设计实验5.实验五:数字逻辑综合设计实验实验一:Karnaugh图和逻辑多路选择器设计实验通过本实验,学生将学会运用Karnaugh图方法设计简单的逻辑电路,掌握最小化布尔函数的方法。

同时,学生将学习多路选择器的设计方法,掌握多路选择器的应用技巧。

实验二:数字逻辑电路的组合设计实验通过本实验,学生将学习的是数字逻辑电路的组合设计方法,包括基本逻辑门和复杂逻辑电路的设计技术。

同时,学生还将掌握基本电路的仿真方法,通过仿真软件对电路进行验证。

实验三:数字电路的时序设计实验在本实验中,学生将掌握数字电路的时序设计方法,了解时序电路的作用、分类和基本原理。

同时,学生将学习数字电路时序仿真的方法,能够进行基本时序电路模拟。

实验四:数字系统设计实验在本实验中,学生将学习数字系统设计的基本方法和过程,包括总体结构设计、输入输出接口的设计、存储器的设计等;同时,学生还将了解数字系统的仿真和测试方法,对设计的数字系统进行仿真和测试。

实验五:数字逻辑综合设计实验在本实验中,学生将通过数字逻辑综合设计,掌握数字逻辑综合应用技巧,并能够在实践中学习根据需求进行电路综合的方法。

三、课程设计特点本次数字逻辑与数字系统设计课程设计不仅注重理论教学,更加强调实践教学,特点如下:1.注重实验教学,对学生的动手能力和实践能力进行提高。

2.充分利用仿真软件进行电路设计和验证,使学生在熟悉实际电路设计方法的同时,也能提高计算机仿真的技能和水平。

数字逻辑实验指导书(1)

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用一 实验目的1 掌握实验箱的功能及使用方法2 学会测试芯片的逻辑功能二 实验仪器及芯片1 实验箱2 芯片:74LS00 二输入端四与非门 1片74LS86 二输入端四异或门 1片 74LS04 六非门 1片三 实验内容1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。

(1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。

(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。

)改变输入的状态,观察发光二极管。

74LS86的接法74LS00基本一样。

表 74LS00的功能测试表 74LS86的功能测试(2)分析74LS00和74LS86的四个门是否都是完好的。

2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。

(3)利用74LS00和74LS04设计一个异或门。

画出设计电路图。

实验二译码器和数据选择器一实验目的1继续熟悉实验箱的功能及使用方法2掌握译码器和数据选择器的逻辑功能二实验仪器及芯片1 实验箱2 芯片:74LS138 3线-8线译码器 1片74LS151 八选一数据选择器 1片74LS20 四输入与非门 1片三实验内容1 译码器功能测试(74LS138)芯片管脚图如图所示,按照表连接电路,并完成表格。

其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。

表2 数据选择器的测试(74LS151)按照表连接电路,并完成表格。

其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

表选通端地址输入端 数据输入端 输出 GA 2 A 1 A 0 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 Y 1 × × × × × × × × × × × 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 1 1 1 1 0 0 0 0 0 0 0 1 0 1 1 1 1 0 0 0 0 0 0 1 1 1 0 1 0 1 1 1 1 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 1 1 0 1 1 0 0 1 1 1 0 0 11111113 分别用74LS138(配合74LS20)和74LS151实现逻辑函数),,,(7421m m m m F ∑=,要求画出逻辑图。

数字逻辑电路实验报告

数字逻辑电路实验报告

数字逻辑电路实验报告数字逻辑电路实验报告引言:数字逻辑电路是现代电子科技中的重要组成部分,它广泛应用于计算机、通信、控制系统等领域。

本实验旨在通过实际操作,加深对数字逻辑电路原理的理解,并通过实验结果验证其正确性和可靠性。

实验一:基本逻辑门的实验在本实验中,我们首先学习了数字逻辑电路的基本组成部分——逻辑门。

逻辑门是数字电路的基本构建单元,它能够根据输入信号的逻辑关系,产生相应的输出信号。

我们通过实验验证了与门、或门、非门、异或门的工作原理和真值表。

以与门为例,当且仅当所有输入信号都为高电平时,与门的输出信号才为高电平。

实验中,我们通过连接开关和LED灯,观察了与门的输出变化。

实验结果与预期相符,验证了与门的正确性。

实验二:多位加法器的设计与实验在本实验中,我们学习了多位加法器的设计和实现。

多位加法器是一种能够对多位二进制数进行加法运算的数字逻辑电路。

我们通过实验设计了一个4位全加器,它能够对两个4位二进制数进行相加,并给出正确的进位和和结果。

实验中,我们使用逻辑门和触发器等元件,按照电路图进行布线和连接。

通过输入不同的二进制数,观察了加法器的输出结果。

实验结果表明,多位加法器能够正确地进行二进制数相加,验证了其可靠性。

实验三:时序电路的实验在本实验中,我们学习了时序电路的设计和实验。

时序电路是一种能够根据输入信号的时间顺序产生相应输出信号的数字逻辑电路。

我们通过实验设计了一个简单的时序电路,它能够产生一个周期性的脉冲信号。

实验中,我们使用计数器和触发器等元件,按照电路图进行布线和连接。

通过改变计数器的计数值,观察了脉冲信号的频率和周期。

实验结果表明,时序电路能够按照设计要求产生周期性的脉冲信号,验证了其正确性。

实验四:存储器的设计与实验在本实验中,我们学习了存储器的设计和实现。

存储器是一种能够存储和读取数据的数字逻辑电路,它在计算机系统中起到重要的作用。

我们通过实验设计了一个简单的存储器,它能够存储和读取一个4位二进制数。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言数字逻辑是计算机科学中的重要基础知识,通过对数字信号的处理和转换,实现了计算机的高效运算和各种复杂功能。

本实验旨在通过实际操作,加深对数字逻辑电路的理解和应用。

实验一:二进制加法器设计与实现在这个实验中,我们需要设计一个二进制加法器,实现两个二进制数的加法运算。

通过对二进制数的逐位相加,我们可以得到正确的结果。

首先,我们需要将两个二进制数输入到加法器中,然后通过逻辑门的组合,实现逐位相加的操作。

最后,将得到的结果输出。

实验二:数字比较器的应用在这个实验中,我们将学习数字比较器的应用。

数字比较器可以比较两个数字的大小,并输出比较结果。

通过使用数字比较器,我们可以实现各种判断和选择的功能。

比如,在一个电子秤中,通过将待测物品的重量与设定的标准重量进行比较,可以判断物品是否符合要求。

实验三:多路选择器的设计与实现在这个实验中,我们需要设计一个多路选择器,实现多个输入信号中的一路信号的选择输出。

通过使用多路选择器,我们可以实现多种条件下的信号选择,从而实现复杂的逻辑控制。

比如,在一个多功能遥控器中,通过选择不同的按钮,可以控制不同的家电设备。

实验四:时序电路的设计与实现在这个实验中,我们将学习时序电路的设计与实现。

时序电路是数字逻辑电路中的一种重要类型,通过控制时钟信号的输入和输出,实现对数据的存储和处理。

比如,在计数器中,通过时序电路的设计,可以实现对数字的逐位计数和显示。

实验五:状态机的设计与实现在这个实验中,我们将学习状态机的设计与实现。

状态机是一种特殊的时序电路,通过对输入信号和当前状态的判断,实现对输出信号和下一个状态的控制。

状态机广泛应用于各种自动控制系统中,比如电梯控制系统、交通信号灯控制系统等。

实验六:逻辑门电路的优化与设计在这个实验中,我们将学习逻辑门电路的优化与设计。

通过对逻辑门电路的布局和连接方式进行优化,可以减少电路的复杂性和功耗,提高电路的性能和可靠性。

数字逻辑实验《译码器编码器》

数字逻辑实验《译码器编码器》

实验四 编码器和译码器一、实验目的1、熟悉常用组合逻辑器件,并测试其逻辑功能。

2、了解集成译码器应用。

3、掌握用逻辑门实现不同的组合逻辑电路。

二、实验仪器及材料 1、双踪示波器2、器件74LS138 2—4线译码器 1片 74LS153 双4选一数据选择器 1片 三、实验内容1、2线——4线译码器功能测试74LS138译码器按图1-1接线,按表1-1输入电平分别置位,填输出状态表1-1.表1-174LS318 图1-1输入输出使能端 输入端 G 1G 2C B AY 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6Y 7 X H × × × H H H H H H H H L X × × × H H H H H H H H H L L L L L H H H H H H HH L L L H H L H H H H H H H L L H L H H L H H H H H H L L H H H H H L H H H H H L H L L H H H H L H H H H L H L H H H H H H L H H H L H H L H H H H H H L H H L H H H H H H H H H H L 专业班级: 姓名学号:G 1 G 2AG 2BY 0Y 1 Y 2Y 3Y 4 Y 5实验线路图如下:译码器功能测试接线图A BC2、数据选择器的测试及应用(1)将双4选1数据选择器74LS153参照图2-2接线,测试其功能并填写功能表2-2。

图2-2逻辑74LS153电平←1―1G +5v ___16___←2―B 2G ___15__1KHZ__3__ 1C3 A ___14___100HZ__4__ 1C2 2C3 ___13___10HZ__5__ 1C1 2C2 ___12___1HZ__6__ 1C0 2C1 ___11_____7__ 1Y 2C0 ___10___示波器__8__ GND 2Y __9____(1)将学习机脉冲信号源中固定连续脉冲4个不同频率的信号接到数据选择器4个输入端,将选择端置位,使输出端可分别观察到4种不同频率脉冲信号。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言:数字逻辑是计算机科学中的基础知识,它研究的是数字信号的处理与传输。

在现代科技发展的背景下,数字逻辑的应用越来越广泛,涉及到计算机硬件、通信、电子设备等众多领域。

本实验旨在通过设计和实现数字逻辑电路,加深对数字逻辑的理解,并掌握数字逻辑实验的基本方法和技巧。

实验一:逻辑门电路设计与实现逻辑门是数字电路的基本组成单元,由与门、或门、非门等构成。

在本实验中,我们设计了一个4位全加器电路。

通过逻辑门的组合,实现了对两个4位二进制数的加法运算。

实验过程中,我们了解到逻辑门的工作原理,掌握了逻辑门的真值表和逻辑方程的编写方法。

实验二:多路选择器的设计与实现多路选择器是一种常用的数字逻辑电路,它可以根据控制信号的不同,从多个输入信号中选择一个输出信号。

在本实验中,我们设计了一个4位2选1多路选择器电路。

通过对多路选择器的输入信号和控制信号的设置,实现了对不同输入信号的选择。

实验过程中,我们了解到多路选择器的工作原理,学会了多路选择器的真值表和逻辑方程的编写方法。

实验三:时序逻辑电路的设计与实现时序逻辑电路是一种能够存储和处理时序信息的数字逻辑电路。

在本实验中,我们设计了一个简单的时序逻辑电路——D触发器。

通过对D触发器的输入信号和时钟信号的设置,实现了对输入信号的存储和传输。

实验过程中,我们了解到D触发器的工作原理,掌握了D触发器的真值表和逻辑方程的编写方法。

实验四:计数器电路的设计与实现计数器是一种能够实现计数功能的数字逻辑电路。

在本实验中,我们设计了一个4位二进制计数器电路。

通过对计数器的时钟信号和复位信号的设置,实现了对计数器的控制。

实验过程中,我们了解到计数器的工作原理,学会了计数器的真值表和逻辑方程的编写方法。

结论:通过本次实验,我们深入了解了数字逻辑的基本原理和应用方法。

通过设计和实现逻辑门电路、多路选择器、时序逻辑电路和计数器电路,我们掌握了数字逻辑实验的基本技巧,并加深了对数字逻辑的理解。

数字逻辑综合实验报告

数字逻辑综合实验报告

一、实验目的本次实验旨在通过实际操作,加深对数字逻辑基本原理和设计方法的理解,提高学生在数字电路设计、仿真和调试方面的实践能力。

通过完成以下实验任务,使学生掌握以下技能:1. 理解数字逻辑电路的基本概念和原理。

2. 掌握数字逻辑电路的设计方法和步骤。

3. 学会使用仿真软件进行电路设计和仿真测试。

4. 掌握数字逻辑电路的调试和优化方法。

二、实验内容本次实验主要包含以下三个部分:1. 组合逻辑电路设计:设计一个四位加法器,并使用Logisim软件进行仿真测试。

2. 时序逻辑电路设计:设计一个简单的计数器,并使用Verilog语言进行描述和仿真。

3. 数字逻辑电路综合应用:设计一个简单的数字信号处理器,实现基本的算术运算。

三、实验步骤1. 组合逻辑电路设计(1)分析题目要求,确定设计目标和输入输出关系。

(2)根据输入输出关系,设计四位加法器的逻辑电路。

(3)使用Logisim软件搭建电路,并设置输入信号。

(4)观察仿真结果,验证电路功能是否正确。

2. 时序逻辑电路设计(1)分析题目要求,确定设计目标和状态转移图。

(2)使用Verilog语言描述计数器电路,包括模块定义、输入输出定义、状态定义和状态转移逻辑。

(3)使用仿真软件进行测试,观察电路在不同状态下的输出波形。

3. 数字逻辑电路综合应用(1)分析题目要求,确定设计目标和功能模块。

(2)设计数字信号处理器电路,包括算术运算单元、控制单元和存储单元等。

(3)使用仿真软件进行测试,验证电路能否实现基本算术运算。

四、实验结果与分析1. 组合逻辑电路设计实验结果:通过仿真测试,四位加法器电路功能正常,能够实现两个四位二进制数的加法运算。

分析:在设计过程中,遵循了组合逻辑电路设计的基本原则,确保了电路的正确性。

2. 时序逻辑电路设计实验结果:通过仿真测试,计数器电路功能正常,能够实现从0到9的计数功能。

分析:在设计过程中,正确描述了状态转移图,并使用Verilog语言实现了电路的功能。

数字逻辑实验报告

数字逻辑实验报告

实验一 TTL门电路的逻辑功能测试一、实验目的1、掌握TTL器件的使用规则。

2、掌握TTL集成与非门的逻辑功能。

3、掌握TTL集成与非门的测试方法。

二、实验原理TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic )简称TTL电路。

54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。

所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。

74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55—±1250C,电源电压工作范围为5V±10%V。

54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。

在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。

TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。

因此,本实训教材大多采用74LS(或74)系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。

它们的逻辑表达式分别为:图1.2.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。

图 TTL 基本逻辑门电路与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。

三、实验设备与器件1、仪器数字逻辑实验箱2、器件74LS00 二输入端四与非门四、实验内容及实验步骤(包括数据记录)1、测试74LS00(四2输入端与非门)逻辑功能将74LS00正确接入DIP插座,注意识别1脚位置(集成块正面放置且缺口向左,则左下角为1脚),输入端接逻辑电平输出插口,输出端接逻辑电平显示,拨动逻辑电平开关,根据LED发光二极管亮与灭,检测非门的逻辑功能,结果填入下表中。

数字逻辑实验报告

数字逻辑实验报告

一、实验目的1. 理解数字逻辑的基本概念和原理。

2. 掌握逻辑门电路的基本功能和应用。

3. 学会使用逻辑门电路设计简单的组合逻辑电路。

4. 培养实际动手能力和分析问题、解决问题的能力。

二、实验原理数字逻辑是研究数字电路的基本原理和设计方法的一门学科。

数字电路是由逻辑门电路组成的,逻辑门电路是实现逻辑运算的基本单元。

常见的逻辑门电路有与门、或门、非门、异或门等。

组合逻辑电路是由逻辑门电路组成的,其输出仅与当前的输入有关,而与电路的历史状态无关。

组合逻辑电路的设计方法主要有真值表法、逻辑函数法、卡诺图法等。

三、实验仪器与设备1. 数字逻辑实验箱2. 移动电源3. 连接线4. 逻辑门电路模块5. 计算器四、实验内容1. 逻辑门电路测试(1)测试与门、或门、非门、异或门的功能。

(2)测试逻辑门电路的输出波形。

2. 组合逻辑电路设计(1)设计一个4位二进制加法器。

(2)设计一个4位二进制减法器。

(3)设计一个4位二进制乘法器。

(4)设计一个4位二进制除法器。

五、实验步骤1. 逻辑门电路测试(1)将实验箱上相应的逻辑门电路模块插入实验板。

(2)根据实验要求,连接输入端和输出端。

(3)打开移动电源,将输入端接入逻辑信号发生器。

(4)观察输出波形,记录实验结果。

2. 组合逻辑电路设计(1)根据实验要求,设计组合逻辑电路的原理图。

(2)根据原理图,将逻辑门电路模块插入实验板。

(3)连接输入端和输出端。

(4)打开移动电源,将输入端接入逻辑信号发生器。

(5)观察输出波形,记录实验结果。

六、实验结果与分析1. 逻辑门电路测试实验结果如下:(1)与门:当两个输入端都为高电平时,输出为高电平。

(2)或门:当两个输入端至少有一个为高电平时,输出为高电平。

(3)非门:输入端为高电平时,输出为低电平;输入端为低电平时,输出为高电平。

(4)异或门:当两个输入端不同时,输出为高电平。

2. 组合逻辑电路设计实验结果如下:(1)4位二进制加法器:能够实现两个4位二进制数的加法运算。

电测实训总结

电测实训总结

电测实训总结引言电测实训是电子信息类专业学生必修的实践教学环节之一。

通过电测实训,学生可以巩固和应用所学的电测理论知识,提高实际操作能力。

本文将对我在电测实训中的学习和体会进行总结和反思。

实验一:基本电路实验在第一次实验中,我们学习了基本的电路原理和电路元件的使用。

我从中了解到了电阻、电流、电压等基本概念,并学会了使用示波器、万用表等仪器进行实验操作。

实验过程中,我遇到了一些问题,例如如何正确连接电路,如何读取示波器的波形图等。

通过与同学和教师的交流和讨论,我逐渐掌握了正确的实验方法,并顺利完成了实验。

通过这次实验,我深刻认识到实验操作的重要性,并明白了理论知识与实践能力的紧密联系。

实验二:电容与电感实验在第二次实验中,我们学习了电容和电感的基本原理,并进行了相应的实验操作。

通过实验,我了解到了电容器和电感器的原理、性质和应用。

实验过程中,我遇到了一些难题,例如如何正确测量电容和电感的数值,如何调整电路使之达到最佳状态等。

通过与同学和教师的合作和讨论,我逐步解决了这些问题,并通过实际操作加深了对电容和电感的理解。

实验三:电路特性实验在第三次实验中,我们学习了电路的特性和滤波器的原理,并进行了相应的实验操作。

通过实验,我掌握了RC电路、LC电路、二阶滤波器等电路的特性及其应用。

实验过程中,我遇到了一些挑战,例如如何分析电路的频率响应曲线,如何调整电路参数使之达到理想状态等。

通过自己的探索和查阅相关资料,我渐渐解决了这些问题,并对电路特性有了更深入的了解。

实验四:数字逻辑实验在第四次实验中,我们学习了数字逻辑电路的基本原理和应用,并进行了相应的实验操作。

通过实验,我了解了数字逻辑器件的基本功能和工作原理。

实验过程中,我遇到了一些复杂的逻辑电路设计问题,例如如何设计一个计数器电路,如何构建一个门电路等。

通过与同学的合作和教师的指导,我逐渐熟悉了逻辑电路的设计和分析方法,并成功完成了实验。

结论与反思通过电测实训,我不仅巩固了电测理论知识,还提高了实际操作能力。

北邮数字逻辑课程设计实验报告(可编辑)

北邮数字逻辑课程设计实验报告(可编辑)

北邮数字逻辑课程设计实验报告(可编辑)(文档可以直接使用,也可根据实际需要修改使用,可编辑推荐下载)实验四:电子钟显示一、实验目的(1)掌握较复杂的逻辑设计和调试。

(2)学习用原理图+VHDL语言设计逻辑电路。

(3)学习数字电路模块层次设计。

(4)掌握ispLEVER 软件的使用方法。

(5)掌握ISP 器件的使用。

二、实验所用器件和设备在系统可编程逻辑器件ISP1032 一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容数字显示电子钟1、任务要求(1)、时钟的“时”要求用两位显示;上、下午用发光管作为标志;(2)、时钟的“分”、“秒”要求各用两位显示;(3)、整个系统要有校时部分(可以手动,也可以自动),校时时不能产生进位;(4)*、系统要有闹钟部分,声音要响5秒(可以是一声一声的响,也可以连续响)。

VHDL源代码:LIBRARY ieee;----主体部分-ENTITY clock isport(clk,clr,put,clk1 : in std_logic; -- clr 为清零信号,put 为置数脉冲,clk1 为响铃控制时钟choice : in std_logic; --用来选择时钟状态的脉冲信号lighthour : out std_logic_vector(10 downto 0);lightmin : out std_logic_vector(7 downto 0);lightsec : out std_logic_vector(7 downto 0); --输出显示ring : out std_logic); --响铃信号end clock;--60进制计数器模块ARCHITECTURE func of clock iscomponent counter_60port(clock : in std_logic;clk_1s : in std_logic;putust : in std_logic;clr : in std_logic;load : in std_logic;s1 : out std_logic_vector(3 downto 0);s10 : out std_logic_vector(3 downto 0);co : out std_logic);end component;--24进制计数器模块component counter_24port(clock : in std_logic;clk_1s : in std_logic;putust : in std_logic;clr : in std_logic;load : in std_logic;s1 : out std_logic_vector(3 downto 0);s10 : out std_logic_vector(6 downto 0));end component;signal sec,a:std_logic; --- 2 分频产生1s信号signal l1,l2,l3:std_logic; ---判定对时间三部分修改signal c1,c2:std_logic; ---进位信号signal load:std_logic_vector(1 downto 0);signal temp:integer range 0 to 2499;signal temp1:integer range 0 to 95; --计数信号signal sec_temp:std_logic_vector(7 downto 0);--总进程beginu1 : counter_60 port map (sec,sec,put,clr,l1,sec_temp(3 downto 0),sec_temp(7 downto 4),c1); u2 : counter_60 port map (c1,sec,put,clr,l2,lightmin(3 downto 0),lightmin(7 downto 4),c2);u3 : counter_24 port map (c2,sec,put,clr,l3,lighthour(3 downto 0),lighthour(10 downto 4)); lightsec(7 downto 0)<=sec_temp(7 downto 0);--状态转换process (choice)beginif (choice'event and choice='1') thencase load iswhen "00" => l1<='0'; --非修改状态l2<='0';l3<='0';load<="01";when "01" => l1<='0'; --此状态下对小时进行修改l2<='0';l3<='1';load<="10";when "10" => l1<='0'; --此状态下对分钟进行修改l2<='1';l3<='0';load<="11";when others => l1<='1'; --此状态下对秒进行修改l2<='0';l3<='0';load<="00";end case;end if;end process;--计数进程process(clk)beginif (clk'event and clk='1') then --分频if (temp=2499) thentemp <= 0;sec<=not sec;elsetemp <= temp+1;end if;end if;end process;--响铃进程process(clk1)beginif(clk1'event and clk1='1') thenif (temp1=95) thentemp1<=0;a<=not a;elsetemp1<=temp1+1;end if;end if;end process;ring<=a when (c2='1' and sec_temp<5 and sec='1') else --5s整点响铃'0';end func;library IEEE;entity counter_60 isport (clock : in std_logic; --计数信号,即低位的进位信号或时钟脉冲信号clk_1s : in std_logic; --周期1s 的时钟信号putust : in std_logic; --调表置数信号clr : in std_logic; --清零load : in std_logic; --判定信号s1 : out std_logic_vector(3 downto 0); --计数器的个位s10 : out std_logic_vector(3 downto 0); --计数器的十位co : out std_logic );end counter_60;if(load=1 ) --防止脉冲产生进位co_ temp<=’0’;architecture func of counter_60 issignal s1_temp: std_logic_vector(3 downto 0);signal s10_temp : std_logic_vector(3 downto 0);signal clk,co_temp : std_logic;beginclk<=clock when load='0' elseputust;process (clk,clr)beginif (clr='1') thens1_temp <= "0000";s10_temp <= "0000";elsif (clk'event and clk='1')then --进位判断if (s1_temp=9) thens1_temp <= "0000";if (s10_temp=5) thens10_temp <= "0000";co_temp<='1';elseco_temp<='0';s10_temp <= s10_temp+1;end if;elseco_temp<='0';s1_temp <= s1_temp+1;end if;end process;s1 <= s1_temp when (clk_1s='1'or load='0') else"1111";s10 <= s10_temp when (clk_1s='1' or load='0') else"1111";co <= co_temp when (load='0') else'0';end func;library IEEE;--24进制计数器entity counter_24 isport(clock : in std_logic; --计数信号clk_1s : in std_logic; --周期1s 的时钟信号putust : in std_logic;clr : in std_logic; --清零信号load : in std_logic; --判定信号s1 : out std_logic_vector(3 downto 0); --计数器的个位s10 : out std_logic_vector(6 downto 0)); --计数器的十位end counter_24;architecture func of counter_24 issignal s1_temp : std_logic_vector(3 downto 0);signal s10_temp : std_logic_vector(1 downto 0);signal clk : std_logic;beginclk<=clock when load='0' elseprocess (clk,clr)beginif (clr='1') thens1_temp <= "0000";s10_temp <= "00";elsif (clk'event and clk='1') thenif (s1_temp=3 and s10_temp=2) then s1_temp <= "0000";s10_temp <= "00";elsif (s1_temp=9) thens1_temp<="0000";s10_temp<=s10_temp+1;elses1_temp <= s1_temp+1;end if;end if;end process;--显示进程process(s10_temp)beginif (clk_1s='1' or load='0') thencase s10_temp iswhen "00" => s10<="1111110";when "01" => s10<="0110000";when "10" => s10<="1101101";when others => null;end case;elses10<="0000000";end if;end process;s1 <= s1_temp when (clk_1s='1' or load='0') else"1111";end func;四、实验小结:注意当时钟处于被修改状态时,即对时、分、秒的值进行修改时,不应产生进位,产生很多莫名其妙的错误,如修改后有进位(分钟为00)时,或者自行到整点响铃后,再次给脉冲会进位的情况。

计算机组成原理实验报告

计算机组成原理实验报告

计算机组成原理实验报告计算机组成原理实验报告引言:计算机组成原理是计算机科学与技术专业的重要课程之一,通过实验可以更好地理解和掌握计算机的组成原理。

本篇实验报告将介绍我们在计算机组成原理实验中所进行的实验内容和实验结果。

实验一:逻辑门电路设计在这个实验中,我们学习了逻辑门电路的设计和实现。

通过使用门电路,我们可以实现与门、或门、非门等基本逻辑运算。

我们首先学习了逻辑门电路的真值表和逻辑代数的基本运算规则,然后根据实验要求,使用逻辑门电路设计了一个简单的加法器电路,并通过仿真软件进行了验证。

实验结果表明,我们设计的加法器电路能够正确地进行二进制数的加法运算。

实验二:数字逻辑电路实现在这个实验中,我们进一步学习了数字逻辑电路的实现。

通过使用多路选择器、触发器等数字逻辑元件,我们可以实现更复杂的逻辑功能。

我们首先学习了多路选择器的原理和使用方法,然后根据实验要求,设计了一个4位二进制加法器电路,并通过数字逻辑实验板进行了搭建和测试。

实验结果表明,我们设计的4位二进制加法器能够正确地进行二进制数的加法运算。

实验三:存储器设计与实现在这个实验中,我们学习了存储器的设计和实现。

存储器是计算机中用于存储和读取数据的重要组成部分。

我们首先学习了存储器的基本原理和组成结构,然后根据实验要求,设计了一个简单的8位存储器电路,并通过实验板进行了搭建和测试。

实验结果表明,我们设计的8位存储器能够正确地存储和读取数据。

实验四:计算机硬件系统设计与实现在这个实验中,我们学习了计算机硬件系统的设计和实现。

计算机硬件系统是计算机的核心部分,包括中央处理器、存储器、输入输出设备等。

我们首先学习了计算机硬件系统的基本原理和组成结构,然后根据实验要求,设计了一个简单的计算机硬件系统,并通过实验板进行了搭建和测试。

实验结果表明,我们设计的计算机硬件系统能够正确地进行指令的执行和数据的处理。

结论:通过这些实验,我们深入学习了计算机组成原理的相关知识,并通过实践掌握了计算机组成原理的基本原理和实现方法。

数字电路实验

数字电路实验

数字电路实验实验目的本实验旨在通过实际操作,加深对数字电路原理的理解并巩固相关知识,提高学生的动手能力和解决问题的能力。

实验设备与材料•逻辑门芯片•示波器•数字电路实验箱•多用途测试仪实验内容1.实验一:数字逻辑门的基本操作–使用真值表法验证与门、或门、非门、与非门的逻辑功能。

–使用数字电路实验箱上的逻辑门芯片,接线实现与门、或门、非门、与非门的功能,并通过示波器验证。

–记录实验过程和实验结果,并对结果进行分析和讨论。

2.实验二:二进制加法器的设计与实现–使用逻辑门芯片,设计并实现一个二进制加法器。

–验证二进制加法器的功能,记录实验过程和实验结果,并分析可能出现的问题。

–对比全加器和半加器的功能和实现方式,并进行思考和讨论。

3.实验三:多路选择器的设计与实现–使用逻辑门芯片,设计并实现一个多路选择器。

–验证多路选择器的功能,记录实验过程和实验结果。

–探讨多路选择器的应用场景,并思考其在电路设计中的作用。

4.实验四:时序电路的设计与实现–了解时序电路的原理和基本概念。

–使用逻辑门芯片,设计并实现一个简单的时序电路。

–验证时序电路的功能,记录实验过程和实验结果,并进行分析和总结。

实验步骤1.实验一:数字逻辑门的基本操作–根据真值表,通过逻辑门芯片进行电路的设计和实现。

–使用示波器对逻辑门的输出进行观察,记录实验结果。

–思考并讨论逻辑门的实现原理和应用场景。

2.实验二:二进制加法器的设计与实现–熟悉二进制加法器的原理和设计方法。

–使用逻辑门芯片,设计并实现一个4位二进制加法器。

–验证加法器的功能,记录实验结果,并分析可能出现的问题。

–比较全加器和半加器的功能和实现方式,思考其在电路设计中的应用。

3.实验三:多路选择器的设计与实现–了解多路选择器的原理和应用场景。

–使用逻辑门芯片,设计并实现一个4位多路选择器。

–验证选择器的功能,记录实验结果,并思考其在电路设计中的作用。

4.实验四:时序电路的设计与实现–学习时序电路的基本概念和实现方法。

北方工业大学《数字逻辑与计算机组成原理》课程运算器实验报告总结

北方工业大学《数字逻辑与计算机组成原理》课程运算器实验报告总结

计算机组成原理实验系统实验指导书北方工业大学计算机系《数字逻辑与计算机组成原理》课程实验报告实验名称实验一运算器实验姓名专业计算机科学与技术学号实验日期班级成绩一、实验目的和要求实验目的:1.掌握运算器的组成及工作原理;2.了解4 位函数发生器74LS181 的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过程;3.验证带进位控制的74LS181 的功能。

实验要求:1.复习本次实验所用的各种数字集成电路的性能及工作原理;2.预习实验步骤,了解实验中要求的注意之处。

二、实验内容(包括实验原理,必要实验原理图、连接图等)1.实验原理及原理图:运算器的结构框图见图1-5:算术逻辑单元ALU是运算器的核心。

集成电路74LS181是4位运算器,四片74LS181以并/串形式构成16位运算器。

它可以对两个16位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。

三态门74LS244 作为输出缓冲器由ALU-G 信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。

四片74LS273作为两个16数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。

2.电路组成:本模块由算术逻辑单元ALU 74LS181(U7、U8、U9、U10)、暂存器74LS273(U3、U4、U5、U6)、三态门74LS244(U11、U12)和控制电路(集成于EP1K10 内部)等组成。

电路图见图1-1(a)、1-1(b)。

算术逻辑单元ALU 是由四片74LS181 构成。

74LS181 的功能控制条件由S3、S2、S1、S0、M、Cn 决定。

高电平方式的74LS181 的功能、管脚分配和引出端功能符号详见表1-1、图1-2和表1-2。

电子科技大学_数字逻辑综合实验_4个实验报告_doc版

电子科技大学_数字逻辑综合实验_4个实验报告_doc版

电子科技大学计算机学院标准实验报告(实验)课程名称数字逻辑综合实验xxx20160xxxxxxxxx电子科技大学教务处制表电子科技大学实验报告 1学生姓名:xxx 学号:指导教师:吉家成米源王华一、实验项目名称:中小规模组合逻辑设计二、实验目的:1.掌握非门、或门、与非门、异或门、数据选择器的逻辑功能。

2.掌握常有逻辑门电路的引脚排列及其使用方法。

3.采用中小规模逻辑门进行组合逻辑设计,掌握组合逻辑的设计方法。

三、实验内容:1.逻辑输入采用实验箱的K1-K11,逻辑输出接L1-L10。

测试实验箱上的HD74LS04P(非门)、SN74LS32N(或门)、SN74LS00N(与非门)、SN74HC86N(异或门)、SN74HC153(数据选择器、多路复用器)的逻辑功能。

2.采用小规模逻辑器件设计一位数据比较器:设一位数据比较器的输入为A、B,比较A>B,A=B,A<B,输出三个比较结果,输出采用低电平有效。

3.分别用小规模和中规模逻辑器件设计3输入多数表决器:设输入为A、B、C,当三个输入有两个或两个以上同意时,输出结果为同意,输入、输出的同意均为高电平有效。

四、实验原理:1.一块74LS04芯片上有6个非门。

非门的逻辑功能如表1所示,74LS04(非门、反相器)的逻辑符号和引脚排列如下图所示。

图1 74LS04的逻辑符号和引脚排列2.74LS32(或门)的逻辑符号、引脚排列如下图所示。

图2 74LS32的逻辑符号和引脚排列输入输出YA BL L LL H HH L HH H H3.74LS00输入输出YA BL L HL H HH L HH H L图3 74LS00逻辑符号和引脚排列4.一块74HC86芯片上有4个异或门。

异或门的逻辑功能如表4所示,74HC86(异或门)的逻辑符号、引脚排列如图4所示。

表4异或门的逻辑功能输入输出YA BL L LL H HH L HH H L图4 74HC86逻辑符号和引脚排列5.74HC153芯片上有两个4选1数据选择器。

数字逻辑电路实验报告

数字逻辑电路实验报告

数字逻辑电路实验报告一、实验目的:1、理解数字逻辑电路的基本原理以及电路特性。

2、掌握典型数字逻辑电路的设计、仿真和实验方法。

3、学会使用数字集成电路芯片进行数字逻辑电路的设计。

二、实验器材:1、数字分析仪。

2、数字万用表。

3、示波器。

三、实验原理:本次实验中采用的逻辑芯片为AND、OR、NOT和NAND四种基本逻辑电路。

这四种逻辑电路都是非反相型(即输出高电平被认为是逻辑 1),并具有以下逻辑公式:AND:Q=A·BOR:Q=A+BNOT:Q=~A,或Q=barA其中, A,B是输入端口的输入信号;Q是输出端口的输出信号。

四、实验内容:使用AND逻辑电路芯片设计两位二进制加法电路。

五、实验结果:按照逻辑公式,将两位二进制加法器的逻辑设计图画出如下所示。

然后,在电路实验平台上将电路连接好。

然后,我们检查了电路接线的正确性,并使用数字分析仪和数字万用表来测试电路的正确性和响应时间。

结果显示:当两个输入信号分别为 1、1 时,输出端口的信号为 10,符合二进制的加法规则。

当其中一个输入信号为 1,另一个输入信号为0时,输出端口的信号为 1,仍符合二进制的加法规则。

结果显示:计数器电路可以正常工作,它可以将输入的连续的脉冲信号转换为二进制计数器输出的信号。

六、实验分析:通过实验,我们进一步深入了解了数字逻辑电路的基本原理和工作特性,以及数字逻辑电路设计、仿真和实验的方法。

在实验中,我们学会了使用基本的数字逻辑电路芯片,如AND、OR、NOT和NAND等,设计了包括二进制加法器、计数器电路、反相器和取反器等四种典型的数字逻辑电路。

在实验中,我们通过使用数字分析仪、数字万用表以及示波器等工具对电路进行了测试和验证,得出了正确的结果。

同时,我们也进一步增强了对数字逻辑电路设计和测试方面的技能和知识。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑实验三实验四 Document number【SA80SAB-SAA9SYT-SAATC-SA6UT-SA18】
实验报告
课程名称电子技术综合设计与实践
题目名称实验三、实验四
学生学院自动化学院
专业班级物联网工程
学号
学生姓名
指导教师
2016 年 6 月 26 日
一、实验目的
1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。

2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。

二、功能描述及分析
实验三:
(1)分别用两个四位二进制数表示两个十进制数,如:用A3 A2 A1A0表示被加数,用B3B2B1B0表示加数,用S3 S2 S1 S0表示“和”,用C0表示进位。

(2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D3D2D1D0=0110;
当S<9时,修正值为D3D2D1D0=0000。

(3)由真值表,我们可以得出D3=D0=0,D2=D1=FC4 + S4(S3+S2)实验四:
(1)分别用四位二进制数来表示十进制数,触发器状态用DCBA表示,10个技术状态中的初值状态为0001。

(2)列出状态表,如下
(3)得出次态方程:
D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D
(4)选用D触发器来实现,求触发器激励函数
D4=BC, D3=B⊕C, D2=A D, D1=A⊕D
(5)画出逻辑电路图如下:
(6)四个触发器输出端一次输入到7447数码管译码器输入端。

三、实验器材
实验三:
(1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED显示灯。

(2)DE2开发板和QuartusⅡ软件
实验四:
一个74292分频器、一个7447数码管译码器、四个D触发器、二个与门、二个非门、二个异或门
四、实验结果(电路图)
实验三:
举例:
1、当输入0001+0010时,输出是0011,,则对应的是指示灯是0与1号绿灯亮,代表十进制数里的十位数的进位指示灯C0不亮。

2、当输入1000+1000时,输出是代表十进制数的16,则对应的指示灯则是C0进位灯亮,以及对应0110的1、2号绿灯亮。

实验四:
五、实验感想
实验三:
通过本次实验,我深刻明白了设计电路前要懂得充分运用真值表以及卡诺图化简,这样才能更快速更准确地列出相应的逻辑函数表达式;此外,还要熟悉本次实验要用到的74283芯片的功能,这样才能更快捷有效地设计出电路图。

通过本次实验,我的逻辑思考能力以及动手设计能力得到了极大地提高,对DE2板的使用也更加熟练了。

实验四:
通过本次实验,我灵活地运用了次态真值表进行电路状态化简,更快速更准确地列出相应的逻辑函数表达式。

然而本次实验也让我发现了自己在学习这门课时的一个很大的漏洞,就是没有真正弄懂怎样设置初态。

一开始我以为需要通过连接CLRN或者PRN来使得电路的初态为1,然而反而发现这样的设置会导致电路无法正常循环显示1、3、5、7、9、0、2、4、6、8、1……当我将这个“初态设置”去除后,电路却能正常循环显示了,并且已经自然从1开始显示。

通过咨询老师后,发现当我们在列次态真值表时就已经把1,也就是0001放在了初始位置,这样也就默认1成初态了。

通过本次实验,我更全面地了解各触发器的功能,也更明白如何在设计电路时设置初态。

此外,我还找到了自己的学习漏洞和学习盲点,这使得我在本次实验里收获匪浅。

相关文档
最新文档